You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
tdelibs/kate/data/ample.xml

3814 lines
152 KiB

<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE language SYSTEM "language.dtd">
<language name="AMPLE" version="0.3" kateversion="2.4" section="Scripts" extensions="*.ample;*.menu;*.startup" mimetype="text/x-amplesrc" priority="5" author="Christian Parg (cparg@gmx.de)" license="Apache &amp; LGPL" >
<!--
#################################################################################################
## AMPLE Highlight file by Christian Parg (cparg_(at)_gmx_de)
## Note:
## - AMPLE ist the Scripting Language of Mentor Graphics' IC-Station Full Custom IC Tools
## - used c.xml as starting point
## v0.2
## - added several function lists
## - added Spice 'f' suffix
## This file comes without any warranty and is licensed under Apache License or LGPL V2 or later
#################################################################################################
Note: I used this hack to extract the functions from the reference documentation:
strings icstn_ref.pdf | fgrep '/Title ' | fgrep '\(' | sed -e 's#/Title (# <item> #' -e 's#)$# </item>#' -e 's#\\##g' -e 's#()##' > ~/tmp/bla2
-->
<highlighting>
<list name="keywords">
<item> break </item>
<item> builtin </item>
<item> case </item>
<item> continue </item>
<item> do </item>
<item> else </item>
<item> extern </item>
<item> local </item>
<item> for </item>
<item> function </item>
<item> if </item>
<item> return </item>
<item> switch </item>
<item> while </item>
</list>
<list name="resvar">
<item> void </item>
<item> undefined </item>
<item> $abort_enable </item>
<item> $dofile_arg1 </item>
<item> $dofile_arg2 </item>
<item> $dofile_arg3 </item>
<item> $dofile_arg4 </item>
<item> $dofile_arg5 </item>
<item> $dofile_arg6 </item>
<item> $dofile_arg7 </item>
<item> $dofile_arg8 </item>
<item> $dofile_arg9 </item>
<item> $dofile_arg10 </item>
<item> $dofile_arg11 </item>
<item> $dofile_arg12 </item>
<item> $dofile_arg13 </item>
<item> $dofile_arg14 </item>
<item> $dofile_arg15 </item>
<item> $dofile_arg16 </item>
<item> $dofile_arg17 </item>
<item> $dofile_arg18 </item>
<item> $dofile_arg19 </item>
<item> $dofile_arg20 </item>
<item> $dofile_arg_count </item>
<item> $dofile_result </item>
<item> false </item>
<item> off </item>
<item> on </item>
<item> pi </item>
<item> $stderr </item>
<item> $stdin </item>
<item> $stdout </item>
<item> true </item>
<item> two_pi </item>
</list>
<!-- plain IC AMPLE builtin Functions -->
<list name="builtinfct">
<item> abs </item>
<item> acos </item>
<item> $add_complex </item>
<item> $add_status_args </item>
<item> asin </item>
<item> atan </item>
<item> atan2 </item>
<item> $bad_status </item>
<item> ceil </item>
<item> $clear_file_error </item>
<item> $close_file </item>
<item> $complex_imaginary </item>
<item> $complex_real </item>
<item> $conjugate_complex </item>
<item> $constrain_value </item>
<item> cos </item>
<item> cosh </item>
<item> cot </item>
<item> $create_complex </item>
<item> $create_string_registry </item>
<item> $create_vector </item>
<item> csc </item>
<item> $current_user </item>
<item> $cvt_exist_file </item>
<item> $cvt_read_variable </item>
<item> $cvt_type </item>
<item> $cvt_write_variable </item>
<item> $date </item>
<item> deg </item>
<item> $divide_complex </item>
<item> $dofile </item>
<item> $e </item>
<item> $eof </item>
<item> exp </item>
<item> $expand_rest </item>
<item> $f </item>
<item> $file_error </item>
<item> $file_exist </item>
<item> $file_pos </item>
<item> $file_status </item>
<item> floor </item>
<item> $flush_file </item>
<item> $format </item>
<item> $free_stream_id </item>
<item> $function_help </item>
<item> $function_ref_help </item>
<item> $function_signature </item>
<item> $g </item>
<item> $generate_rand </item>
<item> $get_ample_status </item>
<item> $get_app_name </item>
<item> $get_app_ver </item>
<item> $get_env </item>
<item> $i </item>
<item> $integer_divide </item>
<item> length </item>
<item> $list_overwritten_functions </item>
<item> $load_library </item>
<item> log </item>
<item> log10 </item>
<item> $lower_string </item>
<item> $magnitude_complex </item>
<item> $multiply_complex </item>
<item> $n </item>
<item> $number_string </item>
<item> $open_file </item>
<item> $ord_to_string </item>
<item> $phase_complex </item>
<item> $pop_ample_status </item>
<item> pow </item>
<item> $qsort </item>
<item> rad </item>
<item> $raise_status </item>
<item> $read_file </item>
<item> $reads_file </item>
<item> $real_time </item>
<item> $register_alias </item>
<item> $register_args </item>
<item> $register_command </item>
<item> $resolve_mgc_path </item>
<item> $round </item>
<item> $round_prec </item>
<item> $s </item>
<item> sec </item>
<item> $seek_file </item>
<item> $set_function_status </item>
<item> $set_rand </item>
<item> $set_status </item>
<item> $set_thousands </item>
<item> $set_transcript_mode </item>
<item> sin </item>
<item> sinh </item>
<item> sqrt </item>
<item> $sscanf </item>
<item> $strcat </item>
<item> $strftime </item>
<item> $string_locate </item>
<item> $string_status </item>
<item> $string_to_ord </item>
<item> $subtract_complex </item>
<item> $suspend </item>
<item> $sys_time </item>
<item> $system </item>
<item> tan </item>
<item> tanh </item>
<item> $time </item>
<item> $traceback </item>
<item> $truncate </item>
<item> $type </item>
<item> $undefine_id </item>
<item> $upper_string </item>
<item> $vector_count_range </item>
<item> $vector_element_divide </item>
<item> $vector_element_multiply </item>
<item> $vector_find </item>
<item> $vector_histogram </item>
<item> $vector_integrate </item>
<item> $vector_max </item>
<item> $vector_min </item>
<item> $vector_search </item>
<item> $vector_slope </item>
<item> $vector_sum </item>
<item> $vendor_cpu </item>
<item> $where_is </item>
<item> $write_file </item>
<item> $writeln_file </item>
<item> $writes_file </item>
</list>
<list name="icstnfct">
<item> $acquire_license </item>
<item> $activate_net </item>
<item> $activate_net_by_handle </item>
<item> $activate_net_by_name </item>
<item> $activate_port </item>
<item> $activate_port_by_handle </item>
<item> $activate_port_by_name </item>
<item> $add_cell </item>
<item> $add_contacts </item>
<item> $add_device </item>
<item> $add_fp_shape </item>
<item> $add_group_property </item>
<item> $add_metal </item>
<item> $add_multiple_text </item>
<item> $add_net_members_to_ports </item>
<item> $add_overflow </item>
<item> $add_overflow_by_handle </item>
<item> $add_panel </item>
<item> $add_path </item>
<item> $add_path_device </item>
<item> $add_point_device </item>
<item> $add_property </item>
<item> $add_property_group_members </item>
<item> $add_property_group_selections </item>
<item> $add_property_text </item>
<item> $add_route </item>
<item> $add_row </item>
<item> $add_rows_by_area </item>
<item> $add_ruler </item>
<item> $add_shape </item>
<item> $add_shape_device </item>
<item> $add_text </item>
<item> $add_text_on_ports </item>
<item> $add_to_library </item>
<item> $add_to_net </item>
<item> $add_to_port </item>
<item> $add_via </item>
<item> $align </item>
<item> $apply_print_vector_attributes </item>
<item> $attach_library </item>
<item> $autofloorplan </item>
<item> $autoplace_blocks </item>
<item> $autoplace_corner_cells </item>
<item> $autoplace_group </item>
<item> $autoplace_pins </item>
<item> $autoplace_ports </item>
<item> $autoplace_power_vias </item>
<item> $autoplace_standard_cells </item>
<item> $autoroute_all </item>
<item> $autoroute_nets </item>
<item> $autoroute_overflow </item>
<item> $backannotate_net_parameters </item>
<item> $build_hotplot_setup </item>
<item> $build_lib </item>
<item> $build_ports </item>
<item> $build_ports_shapes </item>
<item> $build_ports_text_location </item>
<item> $build_power_strap_shapes </item>
<item> $build_power_straps </item>
<item> $build_read_gdsii_optfile </item>
<item> $change_array </item>
<item> $change_aspect </item>
<item> $change_cursor_status </item>
<item> $change_device </item>
<item> $change_from_object_template </item>
<item> $change_group_property </item>
<item> $change_layer </item>
<item> $change_net </item>
<item> $change_object_attributes </item>
<item> $change_object_template </item>
<item> $change_overflow </item>
<item> $change_overflow_by_handle </item>
<item> $change_path </item>
<item> $change_port </item>
<item> $change_property </item>
<item> $change_property_group_members </item>
<item> $change_property_group_selections </item>
<item> $change_property_text </item>
<item> $change_row </item>
<item> $change_text </item>
<item> $change_via_type </item>
<item> $change_window </item>
<item> $checkpoint_cell </item>
<item> $checkpoint_cell_by_name </item>
<item> $check_drc </item>
<item> $check_fn </item>
<item> $check_instances </item>
<item> $check_overflows </item>
<item> $check_polygons </item>
<item> $check_power_pins </item>
<item> $check_preconditions </item>
<item> $check_shorts_all </item>
<item> $check_shorts_selected </item>
<item> $clear_clipboard </item>
<item> $close_layout_library </item>
<item> $close_logic </item>
<item> $close_selection </item>
<item> $close_session </item>
<item> $close_window </item>
<item> $compact </item>
<item> $compose_groups </item>
<item> $compose_layer_geometries </item>
<item> $compose_layer_selections </item>
<item> $connect_instance </item>
<item> $convert_dracula </item>
<item> $copy </item>
<item> $copy_edit_hotkey_settings </item>
<item> $copy_relative </item>
<item> $copy_to_clipboard </item>
<item> $copy_to_fp_layer </item>
<item> $copy_to_layer </item>
<item> $create_cell </item>
<item> $create_def_from_layout_view </item>
<item> $create_layout_library </item>
<item> $create_layout_view </item>
<item> $create_layout_view_from_def </item>
<item> $create_layout_view_from_lef </item>
<item> $create_layout_view_from_verilog </item>
<item> $create_lef_from_layout_library </item>
<item> $create_lef_from_layout_view </item>
<item> $create_library </item>
<item> $create_process </item>
<item> $create_toolbar </item>
<item> $create_via_cell </item>
<item> $create_viewpoint </item>
<item> $cut </item>
<item> $cut_stretch </item>
<item> $deactivate </item>
<item> $define_hotkey </item>
<item> $define_layer_alias </item>
<item> $define_layer_name </item>
<item> $define_layer_set </item>
<item> $define_max_gate_width </item>
<item> $define_mos_site_type </item>
<item> $define_must_connect </item>
<item> $define_net_pair </item>
<item> $define_net_shield </item>
<item> $define_route_transform </item>
<item> $delete </item>
<item> $delete_area </item>
<item> $delete_connectivity </item>
<item> $delete_drc_all </item>
<item> $delete_drc_area </item>
<item> $delete_drc_check </item>
<item> $delete_drc_current </item>
<item> $delete_drc_point </item>
<item> $delete_drc_scan </item>
<item> $delete_from_library </item>
<item> $delete_group_property </item>
<item> $delete_lvs_results </item>
<item> $delete_panel </item>
<item> $delete_property </item>
<item> $delete_property_group_members </item>
<item> $delete_property_group_selections </item>
<item> $delete_routing </item>
<item> $delete_ruler </item>
<item> $delete_rulers_all </item>
<item> $detach_library </item>
<item> $display_channels </item>
<item> $does_cell_exist </item>
<item> $edit_library </item>
<item> $edit_process </item>
<item> $edit_process_override </item>
<item> $enable_edit_layout_library </item>
<item> $export_drc_check </item>
<item> $extract_cell_connectivity </item>
<item> $extract_direct_distributed_parameters </item>
<item> $extract_direct_lumped_parameters </item>
<item> $extract_mask_distributed_parameters </item>
<item> $extract_mask_lumped_parameters </item>
<item> $fillet </item>
<item> $fillet_area </item>
<item> $filter_group </item>
<item> $fit_fp_shape </item>
<item> $flatten </item>
<item> $flatten_hierarchy </item>
<item> $flip </item>
<item> $flip_in_place </item>
<item> $flip_on_axis </item>
<item> $form_ic_action_buttons_gadget </item>
<item> $form_ic_color_paint_chip_gadget </item>
<item> $form_ic_display_gadget </item>
<item> $form_ic_layer_palette_gadget </item>
<item> $form_ic_patterns_list_box_gadget </item>
<item> $form_single_check_box_gadget </item>
<item> $fracture </item>
<item> $freeze_window </item>
<item> $get_absolute_points </item>
<item> $get_acap_info </item>
<item> $get_active_ic_window </item>
<item> $get_active_net </item>
<item> $get_active_port </item>
<item> $get_arc </item>
<item> $get_area </item>
<item> $get_area_estimate </item>
<item> $get_array_value </item>
<item> $get_auto_checkpoint </item>
<item> $get_basepoint </item>
<item> $get_bottom_orient_set </item>
<item> $get_boundary </item>
<item> $get_cap_extent </item>
<item> $get_cap_neg_pin </item>
<item> $get_cap_pos_pin </item>
<item> $get_cell_boundary </item>
<item> $get_cell_configuration </item>
<item> $get_cell_equivalents </item>
<item> $get_cell_info </item>
<item> $get_cell_list_dts </item>
<item> $get_cell_path </item>
<item> $get_cell_refs </item>
<item> $get_cell_xrefs </item>
<item> $get_circle </item>
<item> $get_closed_polygon </item>
<item> $get_closest_object_location </item>
<item> $get_closest_object_points </item>
<item> $get_compact_add_blkgs </item>
<item> $get_compact_jog_power </item>
<item> $get_compose_layer_geometries </item>
<item> $get_compose_layer_selections </item>
<item> $get_connectivity_layers </item>
<item> $get_containment </item>
<item> $get_context_contrast </item>
<item> $get_context_from_world </item>
<item> $get_crosshair_target_radius </item>
<item> $get_crosshair_target_style </item>
<item> $get_def_bus_bit_characters </item>
<item> $get_def_bus_bit_characters </item>
<item> $get_def_divider_character </item>
<item> $get_def_divider_character </item>
<item> $get_def_non_orthogonal </item>
<item> $set_def_non_orthogonal </item>
<item> $get_design_layers </item>
<item> $get_design_path </item>
<item> $get_design_refs </item>
<item> $get_dev_info </item>
<item> $get_dev_layer </item>
<item> $get_dev_rule </item>
<item> $get_device_alias </item>
<item> $get_device_iobj </item>
<item> $get_device_name </item>
<item> $get_drc_check_current_count </item>
<item> $get_drc_check_original_count </item>
<item> $get_drc_check_polygon_count </item>
<item> $get_drc_check_scan_count </item>
<item> $get_drc_current_result_check_name </item>
<item> $get_drc_current_result_number </item>
<item> $get_drc_current_result_points </item>
<item> $get_drc_current_result_type </item>
<item> $get_drc_default_layer_directory_name </item>
<item> $get_drc_default_summary_report_file_name </item>
<item> $get_drc_results_database_check_count </item>
<item> $get_drc_results_database_check_names </item>
<item> $get_drc_results_database_nonempty_check_names </item>
<item> $get_drc_results_database_result_count </item>
<item> $get_drc_results_database_scan_check_names </item>
<item> $get_drc_results_database_scan_result_count </item>
<item> $get_endangered_nets </item>
<item> $get_externals_info </item>
<item> $get_fp_compute_num_rows </item>
<item> $get_fp_compute_route_area_ratio </item>
<item> $get_fp_extend_row </item>
<item> $get_fractured_rectangles </item>
<item> $get_gadget_value </item>
<item> $get_graphic_preempt_list </item>
<item> $get_group_members </item>
<item> $get_group_names </item>
<item> $get_group_property_names </item>
<item> $get_highlight_count </item>
<item> $get_hotkey_settings </item>
<item> $get_ic_cell_windows </item>
<item> $get_ic_location </item>
<item> $get_ic_window_names </item>
<item> $get_instance_extent </item>
<item> $get_instance_fp_extent </item>
<item> $get_instance_version </item>
<item> $get_internal_row_layout </item>
<item> $get_internal_row_sequence </item>
<item> $get_layer_info </item>
<item> $get_layer_minimum_spacing </item>
<item> $get_layer_minimum_width </item>
<item> $get_layer_names </item>
<item> $get_layer_number </item>
<item> $get_layer_palette_height </item>
<item> $get_layers </item>
<item> $get_layout_counterpart </item>
<item> $get_layout_window </item>
<item> $get_lef_bus_bit_characters </item>
<item> $get_lef_bus_bit_characters </item>
<item> $get_lef_divider_character </item>
<item> $get_lef_divider_character </item>
<item> $get_lef_non_orthogonal </item>
<item> $set_lef_non_orthogonal </item>
<item> $get_lef_overlap_boundary </item>
<item> $set_lef_overlap_boundary </item>
<item> $get_left_orient_set </item>
<item> $get_library_cells </item>
<item> $get_licenses </item>
<item> $get_loaded_logic </item>
<item> $get_logic_counterpart </item>
<item> $get_mark </item>
<item> $get_mask_device_count </item>
<item> $get_mask_discrepancy_count </item>
<item> $get_mask_net_count </item>
<item> $get_mos_gate_pin </item>
<item> $get_mos_sd_extent </item>
<item> $get_mos_sd_pin </item>
<item> $get_nearest_edge </item>
<item> $get_net_members </item>
<item> $get_new_object_handles </item>
<item> $get_object_extent </item>
<item> $get_object_info </item>
<item> $get_outdated_cells </item>
<item> $get_outline </item>
<item> $get_panel_extent </item>
<item> $get_panel_names </item>
<item> $get_parameter_default </item>
<item> $get_peek_protected </item>
<item> $get_perimeter </item>
<item> $get_pid </item>
<item> $get_pin_members </item>
<item> $get_pins </item>
<item> $get_points </item>
<item> $get_port_members </item>
<item> $get_process </item>
<item> $get_process_override </item>
<item> $get_property_handles </item>
<item> $get_property_names </item>
<item> $get_property_value </item>
<item> $get_report_obj_types </item>
<item> $get_report_options </item>
<item> $get_res_extent </item>
<item> $get_res_neg_pin </item>
<item> $get_res_pos_pin </item>
<item> $get_reserved </item>
<item> $get_right_orient_set </item>
<item> $get_rotation_point </item>
<item> $get_router_add_blkgs </item>
<item> $get_router_align_cells </item>
<item> $get_router_align_mode </item>
<item> $get_router_block_feed_percent </item>
<item> $get_router_block_stub_mode </item>
<item> $get_router_cell_feed_percent </item>
<item> $get_router_channel_ocr </item>
<item> $get_router_connect_blk_pwr </item>
<item> $get_router_constrain_power </item>
<item> $get_router_create_power_grid </item>
<item> $get_router_limit_area </item>
<item> $get_router_overflow_mode </item>
<item> $get_router_pre_route </item>
<item> $get_router_preserve_power_width </item>
<item> $get_router_probe_extent_margin </item>
<item> $get_router_x_margin </item>
<item> $get_router_y_margin </item>
<item> $get_row_members </item>
<item> $get_rule_file_check_count </item>
<item> $get_rule_file_check_names </item>
<item> $get_rule_file_select_check_count </item>
<item> $get_rule_file_select_check_names </item>
<item> $get_select_area </item>
<item> $get_select_cell </item>
<item> $get_select_count </item>
<item> $get_select_extent </item>
<item> $get_select_set </item>
<item> $get_selectable_layers </item>
<item> $get_selected_edges </item>
<item> $get_short_segment </item>
<item> $get_snapped_points </item>
<item> $get_status_line_info </item>
<item> $get_top_orient_set </item>
<item> $get_traced_properties_numeric </item>
<item> $get_traced_properties_string </item>
<item> $get_visible_layers </item>
<item> $get_window_cell </item>
<item> $get_window_info </item>
<item> $get_world_from_context </item>
<item> $get_world_from_screen </item>
<item> $group </item>
<item> $group_by_name </item>
<item> $group_by_property </item>
<item> $group_on_selected </item>
<item> $group_text </item>
<item> $hide_layer_palette </item>
<item> $hide_status_line </item>
<item> $hide_system_toolbar </item>
<item> $hide_toolbar </item>
<item> $hide_tooltips </item>
<item> $highlight_all </item>
<item> $highlight_all_discrepancies </item>
<item> $highlight_all_incorrect </item>
<item> $highlight_all_unmatched </item>
<item> $highlight_by_location </item>
<item> $highlight_by_name </item>
<item> $highlight_by_property </item>
<item> $highlight_current_discrepancy </item>
<item> $highlight_discrepancy </item>
<item> $highlight_first_discrepancy </item>
<item> $highlight_group </item>
<item> $highlight_hierarchical_net </item>
<item> $highlight_next_discrepancy </item>
<item> $highlight_on_selected </item>
<item> $highlight_previous_discrepancy </item>
<item> $highlight_protected </item>
<item> $hotplot </item>
<item> $hotplot_invoke </item>
<item> $insert_posts </item>
<item> $iroute </item>
<item> $is_cell_outdated </item>
<item> $is_cell_reserved </item>
<item> $is_cell_salvage_pending </item>
<item> $is_edge_selected </item>
<item> $is_history_active </item>
<item> $is_layer_palette_visible </item>
<item> $is_library_reserved </item>
<item> $is_licensed </item>
<item> $is_process_reserved </item>
<item> $is_select_set_closed </item>
<item> $is_status_line_visible </item>
<item> $is_toolbar_visible </item>
<item> $link_floorplan_shape </item>
<item> $list_drc_all </item>
<item> $list_drc_check </item>
<item> $list_drc_selected </item>
<item> $load_design_hierarchy </item>
<item> $load_hotkey_settings </item>
<item> $load_logic </item>
<item> $load_mask_results </item>
<item> $load_process </item>
<item> $load_rules </item>
<item> $loc </item>
<item> $lvs_direct </item>
<item> $lvs_mask </item>
<item> $make_array </item>
<item> $make_cell </item>
<item> $make_font </item>
<item> $make_keypad_panels </item>
<item> $make_net </item>
<item> $make_port </item>
<item> $mark_instances_as_ignored </item>
<item> $measure_distance </item>
<item> $merge </item>
<item> $mgc_scope_name_pre_init </item>
<item> $minimize_levels </item>
<item> $minimize_vias </item>
<item> $modify_centerline </item>
<item> $move </item>
<item> $move_acap </item>
<item> $move_cursor_down </item>
<item> $move_cursor_left </item>
<item> $move_cursor_right </item>
<item> $move_cursor_up </item>
<item> $move_in_row </item>
<item> $move_on_row </item>
<item> $move_relative </item>
<item> $msg_cell_route_hierarchy_mode </item>
<item> $notch </item>
<item> $notch_special </item>
<item> $open_cell </item>
<item> $open_cell_map </item>
<item> $open_clipboard </item>
<item> $open_context_cell </item>
<item> $open_group_window </item>
<item> $open_hierarchy_window </item>
<item> $open_layout_library </item>
<item> $open_layout_view </item>
<item> $open_logic </item>
<item> $open_logic_by_name </item>
<item> $open_mask_logic </item>
<item> $open_selected_cell </item>
<item> $partition_hierarchy </item>
<item> $paste </item>
<item> $peek </item>
<item> $peek_area </item>
<item> $place </item>
<item> $place_on_row </item>
<item> $place_schematic_instances </item>
<item> $place_schematic_ports </item>
<item> $polygon_to_path </item>
<item> $print_cell </item>
<item> $prompt_for_ic_line </item>
<item> $prompt_for_ic_location </item>
<item> $prompt_for_ic_polygon </item>
<item> $prompt_for_ic_polyline </item>
<item> $prompt_for_ic_rectangle </item>
<item> $prompt_for_ic_route </item>
<item> $protect </item>
<item> $protect_group </item>
<item> $protect_nets </item>
<item> $read_def </item>
<item> $read_gdsii </item>
<item> $read_lef </item>
<item> $read_spice </item>
<item> $read_verilog </item>
<item> $read_xml </item>
<item> $redo </item>
<item> $redraw </item>
<item> $redraw_area </item>
<item> $regenerate_device </item>
<item> $release_license </item>
<item> $reload_cell </item>
<item> $reload_cell_by_name </item>
<item> $remove_contacts_by_area </item>
<item> $remove_contacts_by_m2 </item>
<item> $remove_contacts_by_via </item>
<item> $remove_from_net </item>
<item> $remove_from_port </item>
<item> $remove_metal_by_area </item>
<item> $reopen_selection </item>
<item> $repeat_fn </item>
<item> $repeat_objects </item>
<item> $replace_cell </item>
<item> $replace_toolbar </item>
<item> $report_active_context </item>
<item> $report_all_mask_devices </item>
<item> $report_all_mask_nets </item>
<item> $report_capacitor_type </item>
<item> $report_cell </item>
<item> $report_cell_list_dts </item>
<item> $report_current_direct_net </item>
<item> $report_current_discrepancy </item>
<item> $report_current_mask_device </item>
<item> $report_current_mask_discrepancy </item>
<item> $report_current_mask_net </item>
<item> $report_design_layers </item>
<item> $report_design_tree </item>
<item> $report_design_xref </item>
<item> $report_direct_thresholds </item>
<item> $report_drc_all </item>
<item> $report_drc_area </item>
<item> $report_drc_check </item>
<item> $report_drc_current </item>
<item> $report_drc_point </item>
<item> $report_drc_scan </item>
<item> $report_eco_results </item>
<item> $report_gb_type </item>
<item> $report_group </item>
<item> $report_group_names </item>
<item> $report_hotkey_settings </item>
<item> $report_layer_attributes </item>
<item> $report_layer_sets </item>
<item> $report_library </item>
<item> $report_licenses </item>
<item> $report_logic </item>
<item> $report_lvs_results </item>
<item> $report_mask_results </item>
<item> $report_mask_thresholds </item>
<item> $report_mos_type </item>
<item> $report_net_parameters </item>
<item> $report_nets </item>
<item> $report_outdated_cells </item>
<item> $report_panels </item>
<item> $report_paired_nets </item>
<item> $report_peek_protected </item>
<item> $report_place_route </item>
<item> $report_ports </item>
<item> $report_process </item>
<item> $report_property_names </item>
<item> $report_reserved </item>
<item> $report_resistor_type </item>
<item> $report_row_capacity </item>
<item> $report_scoring </item>
<item> $report_selected </item>
<item> $report_shown_mask_devices </item>
<item> $report_shown_mask_discrepancies </item>
<item> $report_shown_mask_nets </item>
<item> $report_text_file </item>
<item> $report_via_type </item>
<item> $report_windows </item>
<item> $reselect </item>
<item> $reserve_cell </item>
<item> $reserve_cell_by_name </item>
<item> $reserve_library </item>
<item> $reserve_process </item>
<item> $reset_basepoint </item>
<item> $reset_licensing </item>
<item> $resize </item>
<item> $restore_defaults </item>
<item> $restore_drc_results </item>
<item> $restructure_nets </item>
<item> $resync_cell </item>
<item> $resync_cell_by_name </item>
<item> $resync_library </item>
<item> $resync_viewpoint </item>
<item> $rotate </item>
<item> $route_point_to_point </item>
<item> $rule_file_loaded </item>
<item> $run_eco </item>
<item> $salvage_cell </item>
<item> $salvage_reference </item>
<item> $save_cell </item>
<item> $save_cell_as </item>
<item> $save_cell_by_name </item>
<item> $save_drc_results </item>
<item> $save_layout_library </item>
<item> $save_library </item>
<item> $save_process </item>
<item> $save_process_by_name </item>
<item> $save_setup </item>
<item> $scale_cells </item>
<item> $scale_context </item>
<item> $scan_drc_all </item>
<item> $scan_drc_check </item>
<item> $sdl_cleanup </item>
<item> $sdl_create_cell </item>
<item> $select_all </item>
<item> $select_area </item>
<item> $select_area_polygon </item>
<item> $select_by_name </item>
<item> $select_by_property </item>
<item> $select_drc_all </item>
<item> $select_drc_check </item>
<item> $select_edge </item>
<item> $select_fixed_routes </item>
<item> $select_folds </item>
<item> $select_group </item>
<item> $select_highlighted </item>
<item> $select_ignored_instances </item>
<item> $select_on_selected </item>
<item> $select_one </item>
<item> $select_range </item>
<item> $select_text </item>
<item> $select_unplaced_schematic_instances </item>
<item> $select_unplaced_schematic_ports </item>
<item> $send_to_prompt </item>
<item> $set_active_port_style </item>
<item> $get_active_port_style </item>
<item> $set_active_ruler_layer </item>
<item> $get_active_ruler_layer </item>
<item> $set_add_route_alignment </item>
<item> $get_add_route_alignment </item>
<item> $set_add_route_checking </item>
<item> $get_add_route_checking </item>
<item> $set_add_route_padding </item>
<item> $get_add_route_padding </item>
<item> $set_angle_mode </item>
<item> $set_angle_mode_enforcement </item>
<item> $get_angle_mode_enforcement </item>
<item> $set_anneal_cooling_factor </item>
<item> $get_anneal_cooling_factor </item>
<item> $set_arc_segments </item>
<item> $get_arc_segments </item>
<item> $set_array_display_style </item>
<item> $get_array_display_style </item>
<item> $set_auto_focus_active_window </item>
<item> $get_auto_focus_active_window </item>
<item> $set_auto_outline_mode </item>
<item> $get_auto_outline_mode </item>
<item> $set_auto_restructure </item>
<item> $get_auto_restructure </item>
<item> $set_autonotch </item>
<item> $get_autonotch </item>
<item> $set_autoselect </item>
<item> $get_autoselect </item>
<item> $set_basepoint </item>
<item> $set_cell_configuration </item>
<item> $set_cell_logical_name </item>
<item> $set_cell_origin </item>
<item> $set_cell_process </item>
<item> $set_cell_route_hierarchy_mode </item>
<item> $set_cell_type </item>
<item> $set_check_drc_message_mode </item>
<item> $set_circle_input_style </item>
<item> $get_circle_input_style </item>
<item> $set_click_distance </item>
<item> $get_click_distance </item>
<item> $set_compact_center_wires </item>
<item> $get_compact_center_wires </item>
<item> $set_compact_corner_spacing </item>
<item> $get_compact_corner_spacing </item>
<item> $set_compact_cost_factor </item>
<item> $get_compact_cost_factor </item>
<item> $set_compact_ext_cells </item>
<item> $get_compact_ext_cells </item>
<item> $set_compact_ext_rows </item>
<item> $get_compact_ext_rows </item>
<item> $set_compact_jogs </item>
<item> $get_compact_jogs </item>
<item> $set_compact_offset_vias </item>
<item> $get_compact_offset_vias </item>
<item> $set_compact_path_mini </item>
<item> $get_compact_path_mini </item>
<item> $set_compact_route_levels </item>
<item> $get_compact_route_levels </item>
<item> $set_compact_suppress_output </item>
<item> $get_compact_suppress_output </item>
<item> $set_compact_to </item>
<item> $get_compact_to </item>
<item> $set_compact_wires_mode </item>
<item> $get_compact_wires_mode </item>
<item> $set_contact_count </item>
<item> $set_context </item>
<item> $set_context_up </item>
<item> $set_copy_ports_on_copy </item>
<item> $get_copy_ports_on_copy </item>
<item> $set_cross_probe </item>
<item> $get_cross_probe </item>
<item> $set_cross_probe_mode </item>
<item> $set_crosshair_style </item>
<item> $get_crosshair_style </item>
<item> $set_crosshair_target </item>
<item> $set_crosshair_target_radius </item>
<item> $set_cull </item>
<item> $set_def_bus_bit_characters </item>
<item> $set_def_divider_character </item>
<item> $set_def_non_orthogonal </item>
<item> $set_direct_thresholds </item>
<item> $set_display_window_title </item>
<item> $get_display_window_title </item>
<item> $set_drag_complexity </item>
<item> $get_drag_complexity </item>
<item> $set_drag_ruler_mode </item>
<item> $get_drag_ruler_mode </item>
<item> $set_drc_check </item>
<item> $set_drc_first </item>
<item> $set_drc_fit_factor </item>
<item> $set_drc_jump </item>
<item> $set_drc_last </item>
<item> $set_drc_next </item>
<item> $set_drc_previous </item>
<item> $set_drc_result </item>
<item> $set_drc_skip </item>
<item> $set_duplicate_ports_policy </item>
<item> $set_dynamic_drc </item>
<item> $get_dynamic_drc </item>
<item> $set_dynamic_drc_complexity </item>
<item> $get_dynamic_drc_complexity </item>
<item> $set_dynamic_hotkey_mode </item>
<item> $get_dynamic_hotkey_mode </item>
<item> $set_dynamic_loading </item>
<item> $get_dynamic_loading </item>
<item> $set_dynamic_message_mode </item>
<item> $get_dynamic_message_mode </item>
<item> $set_dynamic_pre_locations </item>
<item> $set_error_handling </item>
<item> $get_error_handling </item>
<item> $set_fill_display </item>
<item> $get_fill_display </item>
<item> $set_filled_layers </item>
<item> $get_filled_layers </item>
<item> $set_first_direct_net </item>
<item> $set_first_mask_device </item>
<item> $set_first_mask_discrepancy </item>
<item> $set_first_mask_net </item>
<item> $set_fp_bottom_gap </item>
<item> $get_fp_bottom_gap </item>
<item> $set_fp_left_gap </item>
<item> $get_fp_left_gap </item>
<item> $set_fp_lower_aspect </item>
<item> $get_fp_lower_aspect </item>
<item> $set_fp_max_height </item>
<item> $get_fp_max_height </item>
<item> $set_fp_max_width </item>
<item> $get_fp_max_width </item>
<item> $set_fp_num_rows </item>
<item> $get_fp_num_rows </item>
<item> $set_fp_right_gap </item>
<item> $get_fp_right_gap </item>
<item> $set_fp_route_area_ratio </item>
<item> $get_fp_route_area_ratio </item>
<item> $set_fp_top_gap </item>
<item> $get_fp_top_gap </item>
<item> $set_fp_upper_aspect </item>
<item> $get_fp_upper_aspect </item>
<item> $set_fractured_route </item>
<item> $get_fractured_route </item>
<item> $set_gadget_value </item>
<item> $set_gate_size </item>
<item> $set_gds_allanglefracture </item>
<item> $get_gds_allanglefracture </item>
<item> $set_gds_anglemode </item>
<item> $get_gds_anglemode </item>
<item> $set_gds_auto_identify_vias </item>
<item> $get_gds_auto_identify_vias </item>
<item> $set_gds_cellnamecase </item>
<item> $get_gds_cellnamecase </item>
<item> $set_gds_cellnamelength </item>
<item> $get_gds_cellnamelength </item>
<item> $set_gds_cellnamemap </item>
<item> $get_gds_cellnamemap </item>
<item> $set_gds_fixpolygons </item>
<item> $get_gds_fixpolygons </item>
<item> $set_gds_layerfilter </item>
<item> $get_gds_layerfilter </item>
<item> $set_gds_layermap </item>
<item> $get_gds_layermap </item>
<item> $set_gds_library </item>
<item> $get_gds_library </item>
<item> $set_gds_lockcells </item>
<item> $get_gds_lockcells </item>
<item> $set_gds_logfile </item>
<item> $get_gds_logfile </item>
<item> $set_gds_process </item>
<item> $get_gds_process </item>
<item> $set_gds_properties </item>
<item> $get_gds_properties </item>
<item> $set_gds_read_scale </item>
<item> $get_gds_read_scale </item>
<item> $set_gds_replace </item>
<item> $get_gds_replace </item>
<item> $set_gds_save_cells </item>
<item> $get_gds_save_cells </item>
<item> $set_gds_skipunmapped </item>
<item> $get_gds_skipunmapped </item>
<item> $set_gds_textheightmap </item>
<item> $get_gds_textheightmap </item>
<item> $set_gds_transcript </item>
<item> $get_gds_transcript </item>
<item> $set_graphic_interrupt </item>
<item> $get_graphic_interrupt </item>
<item> $set_gravity_distance </item>
<item> $get_gravity_distance </item>
<item> $set_grid </item>
<item> $set_guideline_net_size </item>
<item> $get_guideline_net_size </item>
<item> $set_guideline_width_limit </item>
<item> $get_guideline_width_limit </item>
<item> $set_hocr </item>
<item> $get_hocr </item>
<item> $set_hotkey_mode </item>
<item> $get_hotkey_mode </item>
<item> $set_ic_array_columns </item>
<item> $get_ic_array_columns </item>
<item> $set_ic_array_rows </item>
<item> $get_ic_array_rows </item>
<item> $set_ic_aspect </item>
<item> $get_ic_aspect </item>
<item> $set_ic_cell_flip </item>
<item> $get_ic_cell_flip </item>
<item> $set_ic_cell_orientation </item>
<item> $get_ic_cell_orientation </item>
<item> $set_ic_cell_rotation </item>
<item> $get_ic_cell_rotation </item>
<item> $set_ic_cell_scale </item>
<item> $get_ic_cell_scale </item>
<item> $set_ic_layer </item>
<item> $get_ic_layer </item>
<item> $set_ic_path_end_style </item>
<item> $get_ic_path_end_style </item>
<item> $set_ic_path_old_style </item>
<item> $get_ic_path_old_style </item>
<item> $set_ic_path_padding </item>
<item> $get_ic_path_padding </item>
<item> $set_ic_path_style </item>
<item> $get_ic_path_style </item>
<item> $set_ic_path_width </item>
<item> $get_ic_path_width </item>
<item> $set_ic_property_owner </item>
<item> $get_ic_property_owner </item>
<item> $set_ic_property_replace </item>
<item> $get_ic_property_replace </item>
<item> $set_ic_property_text_height </item>
<item> $get_ic_property_text_height </item>
<item> $set_ic_property_text_horz_just </item>
<item> $get_ic_property_text_horz_just </item>
<item> $set_ic_property_text_orientation </item>
<item> $get_ic_property_text_orientation </item>
<item> $set_ic_property_text_vert_just </item>
<item> $get_ic_property_text_vert_just </item>
<item> $set_ic_row_auto_resize </item>
<item> $get_ic_row_auto_resize </item>
<item> $set_ic_row_justification </item>
<item> $get_ic_row_justification </item>
<item> $set_ic_row_site </item>
<item> $get_ic_row_site </item>
<item> $set_ic_row_slideable </item>
<item> $get_ic_row_slideable </item>
<item> $set_instance_extent_display </item>
<item> $get_instance_extent_display </item>
<item> $set_instance_name_display </item>
<item> $get_instance_name_display </item>
<item> $set_instance_origin_display </item>
<item> $get_instance_origin_display </item>
<item> $set_instance_route_hierarchy_mode </item>
<item> $set_iobj_points </item>
<item> $set_iroute_accept_at_current_loc </item>
<item> $set_iroute_auto_shield </item>
<item> $set_iroute_checking </item>
<item> $set_iroute_push_mode </item>
<item> $set_iroute_route_transforms </item>
<item> $set_iroute_select_with_active_layer </item>
<item> $set_iroute_show_alignment </item>
<item> $set_iroute_show_ortho_path </item>
<item> $set_iroute_via_generator_is_default </item>
<item> $set_iroute_width_change </item>
<item> $set_join_on_move </item>
<item> $get_join_on_move </item>
<item> $set_layer_appearance </item>
<item> $set_layer_palette_height </item>
<item> $get_layer_palette_height </item>
<item> $set_layer_path_width </item>
<item> $set_lef_bus_bit_characters </item>
<item> $get_lef_bus_bit_characters </item>
<item> $set_lef_divider_character </item>
<item> $get_lef_divider_character </item>
<item> $set_lef_non_orthogonal </item>
<item> $get_lef_non_orthogonal </item>
<item> $set_lef_overlap_boundary </item>
<item> $get_lef_overlap_boundary </item>
<item> $set_library_cell_type </item>
<item> $set_library_site_types </item>
<item> $set_location_mode </item>
<item> $get_location_mode </item>
<item> $set_logic_source </item>
<item> $set_logical_correspondence </item>
<item> $set_lvs_all_capacitor_pins_swappable </item>
<item> $get_lvs_all_capacitor_pins_swappable </item>
<item> $set_lvs_component_subtype_property </item>
<item> $get_lvs_component_subtype_property </item>
<item> $set_lvs_component_type_properties </item>
<item> $get_lvs_component_type_properties </item>
<item> $set_lvs_default_direct_source_subname </item>
<item> $get_lvs_default_direct_source_subname </item>
<item> $set_lvs_default_mask_source_subname </item>
<item> $get_lvs_default_mask_source_subname </item>
<item> $set_lvs_default_report_name </item>
<item> $get_lvs_default_report_name </item>
<item> $set_lvs_filter_unused_bipolar_transistors </item>
<item> $get_lvs_filter_unused_bipolar_transistors </item>
<item> $set_lvs_filter_unused_mos_transistors </item>
<item> $get_lvs_filter_unused_mos_transistors </item>
<item> $set_lvs_ground_names </item>
<item> $get_lvs_ground_names </item>
<item> $set_lvs_ignore_ports </item>
<item> $get_lvs_ignore_ports </item>
<item> $set_lvs_pin_name_properties </item>
<item> $get_lvs_pin_name_properties </item>
<item> $set_lvs_power_names </item>
<item> $get_lvs_power_names </item>
<item> $set_lvs_recognize_gates </item>
<item> $get_lvs_recognize_gates </item>
<item> $set_lvs_recognize_only_simple_gates </item>
<item> $get_lvs_recognize_only_simple_gates </item>
<item> $set_lvs_reduce_parallel_bipolar_transistors </item>
<item> $get_lvs_reduce_parallel_bipolar_transistors </item>
<item> $set_lvs_reduce_parallel_capacitors </item>
<item> $get_lvs_reduce_parallel_capacitors </item>
<item> $set_lvs_reduce_parallel_diodes </item>
<item> $get_lvs_reduce_parallel_diodes </item>
<item> $set_lvs_reduce_parallel_mos_transistors </item>
<item> $get_lvs_reduce_parallel_mos_transistors </item>
<item> $set_lvs_reduce_parallel_resistors </item>
<item> $get_lvs_reduce_parallel_resistors </item>
<item> $set_lvs_reduce_series_capacitors </item>
<item> $get_lvs_reduce_series_capacitors </item>
<item> $set_lvs_reduce_series_resistors </item>
<item> $get_lvs_reduce_series_resistors </item>
<item> $set_lvs_reduce_split_gates </item>
<item> $get_lvs_reduce_split_gates </item>
<item> $set_lvs_report_list_limit </item>
<item> $get_lvs_report_list_limit </item>
<item> $set_lvs_write_instance_cross_reference </item>
<item> $get_lvs_write_instance_cross_reference </item>
<item> $set_lvs_write_net_cross_reference </item>
<item> $get_lvs_write_net_cross_reference </item>
<item> $set_mark </item>
<item> $set_mask_auto_view </item>
<item> $set_mask_default_database_name </item>
<item> $get_mask_default_database_name </item>
<item> $set_mask_thresholds </item>
<item> $get_mask_thresholds </item>
<item> $set_max_auto_display </item>
<item> $get_max_auto_display </item>
<item> $set_modify_distance </item>
<item> $get_modify_distance </item>
<item> $set_mos_cell_type </item>
<item> $get_mos_cell_type </item>
<item> $set_mos_share </item>
<item> $get_mos_share </item>
<item> $set_net_priority </item>
<item> $set_new_window_cull </item>
<item> $get_new_window_cull </item>
<item> $set_new_window_grid </item>
<item> $get_new_window_grid </item>
<item> $set_next_direct_net </item>
<item> $set_next_mask_device </item>
<item> $set_next_mask_discrepancy </item>
<item> $set_next_mask_net </item>
<item> $set_packed_vias </item>
<item> $set_padding_grid </item>
<item> $set_path_display_style </item>
<item> $get_path_display_style </item>
<item> $set_peek_on_view </item>
<item> $get_peek_on_view </item>
<item> $set_peek_protect </item>
<item> $set_pex_backannotation_distributed </item>
<item> $get_pex_backannotation_distributed </item>
<item> $set_pex_backannotation_lumped </item>
<item> $get_pex_backannotation_lumped </item>
<item> $set_pex_capacitance_scale </item>
<item> $get_pex_capacitance_scale </item>
<item> $set_pex_coupled_distributed </item>
<item> $get_pex_coupled_distributed </item>
<item> $set_pex_delay </item>
<item> $get_pex_delay </item>
<item> $set_pex_exclude_distributed </item>
<item> $get_pex_exclude_distributed </item>
<item> $set_pex_include_distributed </item>
<item> $get_pex_include_distributed </item>
<item> $set_pex_netlist_distributed </item>
<item> $get_pex_netlist_distributed </item>
<item> $set_pex_netlist_lumped </item>
<item> $get_pex_netlist_lumped </item>
<item> $set_pex_netlist_simple </item>
<item> $get_pex_netlist_simple </item>
<item> $set_pex_options_lumped </item>
<item> $get_pex_options_lumped </item>
<item> $set_pex_report_distributed </item>
<item> $get_pex_report_distributed </item>
<item> $set_pex_report_lumped </item>
<item> $get_pex_report_lumped </item>
<item> $set_pin_shape_editing </item>
<item> $set_placement_select_mode </item>
<item> $get_placement_select_mode </item>
<item> $set_point_select_mode </item>
<item> $get_point_select_mode </item>
<item> $set_point_select_reset_basepoint </item>
<item> $get_point_select_reset_basepoint </item>
<item> $set_port_pin_name_display </item>
<item> $get_port_pin_name_display </item>
<item> $set_preferred_overflow_layers </item>
<item> $get_preferred_overflow_layers </item>
<item> $set_previous_direct_net </item>
<item> $set_previous_mask_device </item>
<item> $set_previous_mask_discrepancy </item>
<item> $set_previous_mask_net </item>
<item> $set_print_appearance </item>
<item> $set_print_array_style </item>
<item> $get_print_array_style </item>
<item> $set_print_cull </item>
<item> $get_print_cull </item>
<item> $set_print_grid </item>
<item> $get_print_grid </item>
<item> $set_print_layers </item>
<item> $get_print_layers </item>
<item> $set_print_levels </item>
<item> $get_print_levels </item>
<item> $set_print_peeked_only </item>
<item> $get_print_peeked_only </item>
<item> $set_process_override </item>
<item> $set_push_count_limit </item>
<item> $set_query_on_merge </item>
<item> $get_query_on_merge </item>
<item> $set_query_on_placement </item>
<item> $get_query_on_placement </item>
<item> $set_redraw_controls </item>
<item> $get_redraw_controls </item>
<item> $set_redraw_level </item>
<item> $get_redraw_level </item>
<item> $set_redraw_precision </item>
<item> $get_redraw_precision </item>
<item> $set_redraw_queue_control </item>
<item> $get_redraw_queue_control </item>
<item> $set_report_target </item>
<item> $get_report_target </item>
<item> $set_restrict_visible </item>
<item> $get_restrict_visible </item>
<item> $set_resync_on_peek </item>
<item> $get_resync_on_peek </item>
<item> $set_route_center_wires </item>
<item> $get_route_center_wires </item>
<item> $set_route_hierarchy_mode </item>
<item> $set_route_net_order </item>
<item> $get_route_net_order </item>
<item> $set_route_objects </item>
<item> $get_route_objects </item>
<item> $set_route_one_pass </item>
<item> $get_route_one_pass </item>
<item> $set_route_overflow_order </item>
<item> $get_route_overflow_order </item>
<item> $set_route_pack_wires </item>
<item> $get_route_pack_wires </item>
<item> $set_route_protection </item>
<item> $set_route_same_net_checking </item>
<item> $get_route_same_net_checking </item>
<item> $set_route_stub_direction </item>
<item> $get_route_stub_direction </item>
<item> $set_route_trim_nets </item>
<item> $get_route_trim_nets </item>
<item> $set_route_via_rotation </item>
<item> $get_route_via_rotation </item>
<item> $set_router_channel_size_variation </item>
<item> $get_router_channel_size_variation </item>
<item> $set_router_cleanup </item>
<item> $get_router_cleanup </item>
<item> $set_router_compound_path </item>
<item> $get_router_compound_path </item>
<item> $set_router_conn_order </item>
<item> $get_router_conn_order </item>
<item> $set_router_do_net_rules_check </item>
<item> $get_router_do_net_rules_check </item>
<item> $set_router_feed_bias </item>
<item> $get_router_feed_bias </item>
<item> $set_router_h_grid_size </item>
<item> $get_router_h_grid_size </item>
<item> $set_router_improve_global_val </item>
<item> $get_router_improve_global_val </item>
<item> $set_router_initial_global_val </item>
<item> $get_router_initial_global_val </item>
<item> $set_router_max_bends </item>
<item> $get_router_max_bends </item>
<item> $set_router_max_iters </item>
<item> $get_router_max_iters </item>
<item> $set_router_max_vias </item>
<item> $get_router_max_vias </item>
<item> $set_router_minimized_layers </item>
<item> $get_router_minimized_layers </item>
<item> $set_router_mode_type </item>
<item> $get_router_mode_type </item>
<item> $set_router_num_extra_tracks </item>
<item> $get_router_num_extra_tracks </item>
<item> $set_router_oper_mode_type </item>
<item> $get_router_oper_mode_type </item>
<item> $set_router_primary_layer_used </item>
<item> $get_router_primary_layer_used </item>
<item> $set_router_probe_layers </item>
<item> $get_router_probe_layers </item>
<item> $set_router_restricted_layers </item>
<item> $get_router_restricted_layers </item>
<item> $set_router_step_size </item>
<item> $get_router_step_size </item>
<item> $set_router_use_gridded_mode </item>
<item> $get_router_use_gridded_mode </item>
<item> $set_router_v_grid_size </item>
<item> $get_router_v_grid_size </item>
<item> $set_router_view_probes </item>
<item> $get_router_view_probes </item>
<item> $set_router_wrong_direction </item>
<item> $get_router_wrong_direction </item>
<item> $set_ruler_angle_mode </item>
<item> $get_ruler_angle_mode </item>
<item> $set_ruler_select_state </item>
<item> $get_ruler_select_state </item>
<item> $set_ruler_text_height </item>
<item> $get_ruler_text_height </item>
<item> $set_sd_hv_contact </item>
<item> $set_sdl_filter </item>
<item> $get_sdl_filter </item>
<item> $set_sdl_preserve_orientation </item>
<item> $get_sdl_preserve_orientation </item>
<item> $set_sdl_quiet </item>
<item> $get_sdl_quiet </item>
<item> $set_search_path </item>
<item> $get_search_path </item>
<item> $set_select_via_pin </item>
<item> $get_select_via_pin </item>
<item> $set_selectable_area </item>
<item> $get_selectable_area </item>
<item> $set_selectable_layers </item>
<item> $set_selectable_types </item>
<item> $get_selectable_types </item>
<item> $set_site_type </item>
<item> $set_snap_basepoint_to_grid </item>
<item> $get_snap_basepoint_to_grid </item>
<item> $set_target_mode </item>
<item> $get_target_mode </item>
<item> $set_text_cull_basis </item>
<item> $get_text_cull_basis </item>
<item> $set_text_display </item>
<item> $get_text_display </item>
<item> $set_text_origin_display </item>
<item> $get_text_origin_display </item>
<item> $set_timer </item>
<item> $set_toolbar_alignment </item>
<item> $get_toolbar_alignment </item>
<item> $set_toolbar_keep_items_visible </item>
<item> $get_toolbar_keep_items_visible </item>
<item> $set_undo_level </item>
<item> $get_undo_level </item>
<item> $set_undo_object_limit </item>
<item> $get_undo_object_limit </item>
<item> $set_unselect_empty </item>
<item> $get_unselect_empty </item>
<item> $set_update_connected </item>
<item> $get_update_connected </item>
<item> $set_verilog_array_delimiters </item>
<item> $get_verilog_array_delimiters </item>
<item> $set_verilog_supply0 </item>
<item> $get_verilog_supply0 </item>
<item> $set_verilog_supply1 </item>
<item> $get_verilog_supply1 </item>
<item> $set_view_controls </item>
<item> $get_view_controls </item>
<item> $set_visible_layers </item>
<item> $set_working_directory </item>
<item> $get_working_directory </item>
<item> $set_xml_group_protection </item>
<item> $get_xml_group_protection </item>
<item> $set_xml_logfile </item>
<item> $get_xml_logfile </item>
<item> $set_xml_other_protection </item>
<item> $get_xml_other_protection </item>
<item> $set_xml_read_cell_path_style </item>
<item> $get_xml_read_cell_path_style </item>
<item> $set_xml_replace </item>
<item> $get_xml_replace </item>
<item> $set_xml_style </item>
<item> $get_xml_style </item>
<item> $set_xml_write_cell_path_style </item>
<item> $get_xml_write_cell_path_style </item>
<item> $setup_auto_checkpoint </item>
<item> $setup_ic </item>
<item> $setup_ic_dynamics </item>
<item> $setup_new_windows </item>
<item> $setup_print </item>
<item> $setup_redraw_controls </item>
<item> $setup_reports </item>
<item> $setup_rulers </item>
<item> $get_active_ruler_bgd_color </item>
<item> $get_active_ruler_color </item>
<item> $get_active_ruler_font </item>
<item> $setup_sdl </item>
<item> $setup_select_filter </item>
<item> $setup_session </item>
<item> $setup_status_line </item>
<item> $show_all_mask_devices </item>
<item> $show_all_mask_discrepancies </item>
<item> $show_all_mask_nets </item>
<item> $show_aspect </item>
<item> $show_contact_gaps </item>
<item> $show_context </item>
<item> $show_current_mask_device </item>
<item> $show_current_mask_discrepancy </item>
<item> $show_current_mask_net </item>
<item> $show_drc_all </item>
<item> $show_drc_area </item>
<item> $show_drc_check </item>
<item> $show_drc_current </item>
<item> $show_drc_scan </item>
<item> $show_group </item>
<item> $show_guidelines </item>
<item> $show_layer_palette </item>
<item> $show_mask_device_id </item>
<item> $show_mask_device_name </item>
<item> $show_mask_device_point </item>
<item> $show_mask_discrepancy </item>
<item> $show_mask_net_id </item>
<item> $show_mask_net_name </item>
<item> $show_mask_net_point </item>
<item> $show_panel </item>
<item> $show_status_line </item>
<item> $show_system_toolbar </item>
<item> $show_toolbar </item>
<item> $show_tooltips </item>
<item> $show_unplaced_instances </item>
<item> $slice </item>
<item> $slice_array </item>
<item> $slice_with_polygon </item>
<item> $slide_route </item>
<item> $snap_to_grid </item>
<item> $snap_to_row </item>
<item> $startup </item>
<item> $stretch </item>
<item> $stretch_relative </item>
<item> $swap_logically_equivalent_nets </item>
<item> $toolbar_context_glyph_item </item>
<item> $toolbar_glyph_item </item>
<item> $tooltips_visible </item>
<item> $trace_property_numeric </item>
<item> $trace_property_string </item>
<item> $trim_invalid_nets </item>
<item> $trim_nets </item>
<item> $trim_nets_options </item>
<item> $undefine_layer_alias </item>
<item> $undefine_layer_name </item>
<item> $undefine_layer_set </item>
<item> $undefine_must_connect </item>
<item> $undefine_net_pairs </item>
<item> $undelete_drc </item>
<item> $undo </item>
<item> $unfreeze_window </item>
<item> $ungroup </item>
<item> $unhighlight_all </item>
<item> $unhighlight_group </item>
<item> $unhighlight_hierarchical_net </item>
<item> $unlink_floorplan_shape </item>
<item> $unload_closed_cells </item>
<item> $unload_mask_results </item>
<item> $unload_viewpoint </item>
<item> $unmake_port </item>
<item> $unmark_all_ignored </item>
<item> $unmark_instances_as_ignored </item>
<item> $unpeek </item>
<item> $unpeek_area </item>
<item> $unplace </item>
<item> $unprotect_all </item>
<item> $unprotect_group </item>
<item> $unprotect_nets </item>
<item> $unreserve_cell </item>
<item> $unreserve_cell_by_name </item>
<item> $unreserve_library </item>
<item> $unreserve_process </item>
<item> $unselect_all </item>
<item> $unselect_all_edges </item>
<item> $unselect_area </item>
<item> $unselect_area_polygon </item>
<item> $unselect_by_name </item>
<item> $unselect_by_property </item>
<item> $unselect_drc </item>
<item> $unselect_edge </item>
<item> $unselect_group </item>
<item> $unselect_range </item>
<item> $unset_cell_process </item>
<item> $unset_logic_source </item>
<item> $unset_process_override </item>
<item> $unshow_all_mask_devices </item>
<item> $unshow_all_mask_discrepancies </item>
<item> $unshow_all_mask_nets </item>
<item> $unshow_all_mask_results </item>
<item> $unshow_current_mask_device </item>
<item> $unshow_current_mask_discrepancy </item>
<item> $unshow_current_mask_net </item>
<item> $unshow_drc </item>
<item> $unshow_guidelines </item>
<item> $untrace_all_properties </item>
<item> $update_cell </item>
<item> $update_cell_by_name </item>
<item> $update_gadget </item>
<item> $update_group_window </item>
<item> $update_hier_window </item>
<item> $update_layout_view </item>
<item> $update_layout_config_view </item>
<item> $update_library </item>
<item> $view_all </item>
<item> $view_all_mask_devices </item>
<item> $view_all_mask_discrepancies </item>
<item> $view_all_mask_nets </item>
<item> $view_area </item>
<item> $view_centered </item>
<item> $view_context </item>
<item> $view_current_mask_device </item>
<item> $view_current_mask_discrepancy </item>
<item> $view_current_mask_net </item>
<item> $view_drc </item>
<item> $view_highlighted </item>
<item> $view_next </item>
<item> $view_panel </item>
<item> $view_previous </item>
<item> $view_selected </item>
<item> $write_calibre </item>
<item> $write_def </item>
<item> $write_design_lef </item>
<item> $write_direct_cnet </item>
<item> $write_direct_netlist </item>
<item> $write_gdsii </item>
<item> $write_hierarchical_netlist </item>
<item> $write_lef </item>
<item> $write_mask_cnet </item>
<item> $write_mask_netlist </item>
<item> $write_source_cnet </item>
<item> $write_xml </item>
<item> $zoom_in </item>
<item> $zoom_out </item>
<item> $zoom_to_grid </item>
</list>
<list name="daicfct">
<item> $$add_arc </item>
<item> $add_border </item>
<item> $add_bus </item>
<item> $add_circle </item>
<item> $add_dot </item>
<item> $add_fb_def </item>
<item> $add_fb_inst </item>
<item> $add_fb_pins </item>
<item> $add_frame </item>
<item> $add_ic_viewpoint_type </item>
<item> $add_instance </item>
<item> $add_line </item>
<item> $add_net </item>
<item> $add_panel </item>
<item> $add_parameters </item>
<item> $add_pin </item>
<item> $add_polygon </item>
<item> $add_polyline </item>
<item> $add_property </item>
<item> $add_property_to_handle </item>
<item> $add_rectangle </item>
<item> $add_selected_instance </item>
<item> $add_settings_block </item>
<item> $add_sheet_border </item>
<item> $add_text </item>
<item> $add_wire </item>
<item> $align </item>
<item> $allow_resizable_instances </item>
<item> $apply_edits </item>
<item> $auto_sequence_text </item>
<item> $begin_edit_symbol </item>
<item> $change_color </item>
<item> $change_compiled_pin_name </item>
<item> $change_group_visibility </item>
<item> $change_instance_resize_factor </item>
<item> $change_line_style </item>
<item> $change_line_width </item>
<item> $change_net_style </item>
<item> $change_net_width </item>
<item> $change_polygon_fill </item>
<item> $change_property_color </item>
<item> $change_property_font </item>
<item> $change_property_height </item>
<item> $change_property_justification </item>
<item> $change_property_name </item>
<item> $change_property_offset </item>
<item> $change_property_orientation </item>
<item> $change_property_stability_switch </item>
<item> $change_property_type </item>
<item> $change_property_value </item>
<item> $change_property_visibility </item>
<item> $change_property_visibility_switch </item>
<item> $change_text_font </item>
<item> $change_text_height </item>
<item> $change_text_justification </item>
<item> $change_text_value </item>
<item> $change_variant_display </item>
<item> $$check </item>
<item> $check_and_save </item>
<item> $clear_unattached_annotations </item>
<item> $close_design_configuration </item>
<item> $close_selection </item>
<item> $close_window </item>
<item> $comp_name </item>
<item> $connect </item>
<item> $connect_area </item>
<item> $construct_frame </item>
<item> $convert_fb_inst_to_def </item>
<item> $convert_to_comment </item>
<item> $convert_to_new_technology </item>
<item> $copy </item>
<item> $copy_edit_hotkey_settings </item>
<item> $copy_multiple </item>
<item> $copy_to_array </item>
<item> $create_design_configuration </item>
<item> $create_design_sheet </item>
<item> $create_entity </item>
<item> $create_fb_inst_from_def </item>
<item> $create_implicit_pins </item>
<item> $create_pin_list </item>
<item> $create_sheet </item>
<item> $create_symbol </item>
<item> $create_variant_viewpoint </item>
<item> $da_ic_crossprobe </item>
<item> $da_ic_crossprobe_diff </item>
<item> $define_hotkey </item>
<item> $delete </item>
<item> $delete_ba_property </item>
<item> $delete_interfaces </item>
<item> $delete_multiple_ba_properties </item>
<item> $delete_panel </item>
<item> $delete_parameter </item>
<item> $delete_property </item>
<item> $delete_property_owner </item>
<item> $delete_sheet </item>
<item> $delete_template_name </item>
<item> $direct_to_active_window </item>
<item> $disconnect </item>
<item> $disconnect_area </item>
<item> $disconnect_ba </item>
<item> $display_next_sheet </item>
<item> $display_prev_sheet </item>
<item> $display_spec_sheet </item>
<item> $does_selection_exist </item>
<item> $$dump_sim_values </item>
<item> $end_edit_symbol </item>
<item> $$exit_sim_mode </item>
<item> $expand_template_name </item>
<item> $export_spice </item>
<item> $export_verilog </item>
<item> $export_vhdl </item>
<item> $filter_property_check </item>
<item> $flip </item>
<item> $freeze_window </item>
<item> $generate_symbol </item>
<item> $get_active_symbol </item>
<item> $get_active_symbol_history </item>
<item> $get_apply_edits_needed </item>
<item> $get_attached_objects </item>
<item> $get_attributes </item>
<item> $get_auto_update_inst_handles </item>
<item> $get_basepoint </item>
<item> $get_body_text_restriction </item>
<item> $get_bundle_members </item>
<item> $get_check_schematic_status </item>
<item> $get_check_status </item>
<item> $get_comment_graphics_attributes </item>
<item> $get_comment_handles </item>
<item> $get_comment_text_attributes </item>
<item> $get_comment_text_restriction </item>
<item> $get_comment_visibility </item>
<item> $get_default_interface_name </item>
<item> $get_design_sheets </item>
<item> $get_diagram_location </item>
<item> $get_edit_mode </item>
<item> $get_evaluations </item>
<item> $get_fb_line_style_by_handle </item>
<item> $get_frame_attributes </item>
<item> $get_frame_handles </item>
<item> $get_grid </item>
<item> $get_hotkey_settings </item>
<item> $get_in_design_context </item>
<item> $get_instance_attributes </item>
<item> $get_instance_handles </item>
<item> $get_instance_models </item>
<item> $get_instance_pathname </item>
<item> $get_instance_resize_factor </item>
<item> $get_item_type </item>
<item> $get_model_path </item>
<item> $get_net_attributes </item>
<item> $get_net_handles </item>
<item> $get_next_active_symbol </item>
<item> $get_object_property_attributes </item>
<item> $get_objects </item>
<item> $get_objects_in_area </item>
<item> $get_origin </item>
<item> $get_owned_property_names </item>
<item> $get_parameter </item>
<item> $get_pathname </item>
<item> $get_pin_attributes </item>
<item> $get_pin_handles </item>
<item> $get_pin_names </item>
<item> $get_prop_text_restriction </item>
<item> $get_property </item>
<item> $get_property_attributes </item>
<item> $get_property_handles </item>
<item> $get_property_names </item>
<item> $get_property_owners </item>
<item> $get_schematic_sheets </item>
<item> $get_search_path </item>
<item> $get_select_count </item>
<item> $get_select_count_type </item>
<item> $get_select_design_paths </item>
<item> $get_select_extent </item>
<item> $get_select_handles </item>
<item> $get_select_handles_type </item>
<item> $get_select_identical </item>
<item> $get_select_text_exists </item>
<item> $get_select_text_handle </item>
<item> $get_select_text_name </item>
<item> $get_select_text_origin </item>
<item> $get_select_text_value </item>
<item> $get_sheet_design_pathname </item>
<item> $get_sheet_extent </item>
<item> $get_sheetname_viewed </item>
<item> $$get_sim_value </item>
<item> $$get_sim_version </item>
<item> $get_simulation_mode </item>
<item> $get_source_edit_allowed </item>
<item> $get_symbol_name </item>
<item> $get_text_information </item>
<item> $get_type_present </item>
<item> $get_vertex_attributes </item>
<item> $get_vertex_handles </item>
<item> $get_view_area </item>
<item> $get_viewpoint </item>
<item> $get_window_names </item>
<item> $get_window_sheet_list </item>
<item> $group </item>
<item> $hide_active_symbol_window </item>
<item> $hide_annotations </item>
<item> $hide_comment </item>
<item> $hide_context_window </item>
<item> $hide_panel_border </item>
<item> $hide_status_line </item>
<item> $hide_system_toolbar </item>
<item> $hide_toolbar </item>
<item> $highlight_by_handle </item>
<item> $highlight_by_name </item>
<item> $highlight_property_owner </item>
<item> $$hotplot_submit </item>
<item> $import_verilog </item>
<item> $insert_template </item>
<item> $is_active_symbol_window_visible </item>
<item> $is_context_window_visible </item>
<item> $is_handle_valid </item>
<item> $is_selection_open </item>
<item> $is_status_line_visible </item>
<item> $is_system_toolbar_visible </item>
<item> $is_toolbar_visible </item>
<item> $load_hotkey_settings </item>
<item> $make_fb </item>
<item> $make_polygon </item>
<item> $make_polyline </item>
<item> $make_symbol </item>
<item> $mark_property_attributes </item>
<item> $mark_property_value </item>
<item> $measure_distance </item>
<item> $merge_annotations </item>
<item> $modify_frame </item>
<item> $move </item>
<item> $move_cursor_incrementally </item>
<item> $$move_settings_block </item>
<item> $name_instances </item>
<item> $name_instances_auto </item>
<item> $open_design_configuration </item>
<item> $open_design_sheet </item>
<item> $open_down </item>
<item> $open_schematic </item>
<item> $open_sheet </item>
<item> $open_source_code </item>
<item> $open_symbol </item>
<item> $open_top </item>
<item> $open_up </item>
<item> $pivot </item>
<item> $place_active_symbol </item>
<item> $pop_to_front </item>
<item> $print_all_schematics </item>
<item> $print_design_sheets </item>
<item> $protect </item>
<item> $protect_area </item>
<item> $push_to_back </item>
<item> $recalculate_properties </item>
<item> $reconnect_annotations </item>
<item> $redo </item>
<item> $remove_comment_status </item>
<item> $reopen_selection </item>
<item> $replace </item>
<item> $replace_with_alternate_symbol </item>
<item> $report_broken_annotations </item>
<item> $$report_check </item>
<item> $report_default_property_settings </item>
<item> $report_groups </item>
<item> $report_hotkey_settings </item>
<item> $report_interfaces </item>
<item> $report_interfaces_selected </item>
<item> $report_object </item>
<item> $$report_object_name </item>
<item> $report_panels </item>
<item> $report_parameter </item>
<item> $report_unattached_annotations </item>
<item> $reselect </item>
<item> $reset_instance_colors </item>
<item> $resize_fb </item>
<item> $revalidate_models </item>
<item> $rotate </item>
<item> $route </item>
<item> $save_setup </item>
<item> $save_sheet </item>
<item> $save_sheet_as </item>
<item> $save_symbol </item>
<item> $save_symbol_as </item>
<item> $save_variant_viewpoint </item>
<item> $scale </item>
<item> $scroll_down_by_unit </item>
<item> $scroll_down_by_window </item>
<item> $scroll_hz </item>
<item> $scroll_left_by_unit </item>
<item> $scroll_left_by_window </item>
<item> $scroll_right_by_unit </item>
<item> $scroll_right_by_window </item>
<item> $scroll_up_by_unit </item>
<item> $scroll_up_by_window </item>
<item> $scroll_vt </item>
<item> $select_all </item>
<item> $select_area </item>
<item> $select_branches </item>
<item> $select_by_design_path </item>
<item> $select_by_handle </item>
<item> $select_by_name </item>
<item> $select_by_property </item>
<item> $select_by_property_type </item>
<item> $select_fb </item>
<item> $select_group </item>
<item> $select_instances </item>
<item> $select_nets </item>
<item> $select_pins </item>
<item> $select_property_owner </item>
<item> $select_template_name </item>
<item> $select_text </item>
<item> $select_vertices </item>
<item> $sequence_text </item>
<item> $set_active_symbol </item>
<item> $set_active_symbol_history </item>
<item> $set_basepoint </item>
<item> $set_color </item>
<item> $set_color_config </item>
<item> $set_compiler_options </item>
<item> $set_default_parts_menu </item>
<item> $set_edit_mode </item>
<item> $set_evaluations </item>
<item> $set_grid </item>
<item> $set_hotkey_mode </item>
<item> $set_next_active_symbol </item>
<item> $set_origin </item>
<item> $set_out_of_view_warn </item>
<item> $set_parameter </item>
<item> $set_previous_active_symbol </item>
<item> $set_property_owner </item>
<item> $set_property_type </item>
<item> $set_search_path </item>
<item> $set_sel_name_display </item>
<item> $$set_sim_value </item>
<item> $set_simulation_mode </item>
<item> $set_template_directory </item>
<item> $set_toolbar_alignment </item>
<item> $set_userrule_error </item>
<item> $set_userrule_warning </item>
<item> $set_variant_properties </item>
<item> $set_viewpoint </item>
<item> $$settings_block_visible </item>
<item> $setup_annotated_property_text </item>
<item> $setup_check_schematic </item>
<item> $setup_check_schematic_sheet </item>
<item> $$setup_check_sheet </item>
<item> $setup_check_symbol </item>
<item> $setup_color </item>
<item> $setup_comment </item>
<item> $setup_default_viewpoint </item>
<item> $setup_display </item>
<item> $setup_function_block </item>
<item> $setup_grid </item>
<item> $setup_hspice_alter </item>
<item> $setup_net </item>
<item> $setup_object_template </item>
<item> $setup_page </item>
<item> $setup_property_display </item>
<item> $setup_property_text </item>
<item> $setup_report </item>
<item> $setup_ripper </item>
<item> $setup_selection </item>
<item> $setup_select_filter </item>
<item> $setup_sim_config </item>
<item> $setup_sim_model_editor </item>
<item> $setup_symbol_body </item>
<item> $setup_text_restriction </item>
<item> $setup_unselect_filter </item>
<item> $show_active_symbol_window </item>
<item> $show_annotations </item>
<item> $show_comment </item>
<item> $show_context_window </item>
<item> $show_panel_border </item>
<item> $show_registration </item>
<item> $show_status_line </item>
<item> $show_system_toolbar </item>
<item> $show_toolbar </item>
<item> $sim_add_dspf </item>
<item> $sim_add_sdf </item>
<item> $sim_choose_library </item>
<item> $sim_copy_configuration_as </item>
<item> $sim_delete_converter </item>
<item> $sim_edit_commands </item>
<item> $sim_edit_measurements </item>
<item> $sim_edit_sdf </item>
<item> $sim_export_spice </item>
<item> $sim_get_adms_ini_file </item>
<item> $sim_hide_dcop </item>
<item> $sim_insert_converter_default </item>
<item> $sim_insert_converter_inst </item>
<item> $sim_insert_converter_net </item>
<item> $sim_insert_converter_pin </item>
<item> $sim_invoke </item>
<item> $sim_invoke_mr </item>
<item> $sim_invoke_ms </item>
<item> $$sim_merge_annotations </item>
<item> $sim_open_language </item>
<item> $sim_open_lang_model </item>
<item> $sim_process_extracted_netlist </item>
<item> $sim_restore_setup_from </item>
<item> $sim_run </item>
<item> $sim_save_selected </item>
<item> $sim_save_setup_as </item>
<item> $sim_set_additional_commands </item>
<item> $sim_set_include_paths </item>
<item> $sim_set_initial_condition </item>
<item> $sim_set_temperature </item>
<item> $sim_setup_analysis </item>
<item> $sim_setup_analysis_ac </item>
<item> $sim_setup_analysis_dc </item>
<item> $sim_setup_analysis_dcop </item>
<item> $sim_setup_analysis_mc </item>
<item> $sim_setup_analysis_mod_steadystate </item>
<item> $sim_setup_analysis_noise </item>
<item> $sim_setup_analysis_noisetran </item>
<item> $sim_setup_analysis_steadystate </item>
<item> $sim_setup_analysis_steadystateac </item>
<item> $sim_setup_analysis_steadystatenoise </item>
<item> $sim_setup_analysis_steadystateoscil </item>
<item> $sim_setup_analysis_tran </item>
<item> $sim_setup_netlister </item>
<item> $sim_setup_sim_environ </item>
<item> $$sim_setup_simulator_viewer </item>
<item> $$sim_setup_simulator_viewer_advance </item>
<item> $sim_simulate </item>
<item> $sim_view_measurements </item>
<item> $sim_view_output_file </item>
<item> $sim_write_commands </item>
<item> $sim_write_setup_file </item>
<item> $slice </item>
<item> $snap_to_grid </item>
<item> $sort_handles </item>
<item> $sort_handles_by_property </item>
<item> $stretch </item>
<item> $string_to_literal </item>
<item> $symb_name </item>
<item> $undo </item>
<item> $unfreeze_window </item>
<item> $ungroup </item>
<item> $unhighlight_by_handle </item>
<item> $unhighlight_by_name </item>
<item> $unhighlight_property_owner </item>
<item> $unmake_fb </item>
<item> $unprotect </item>
<item> $unprotect_area </item>
<item> $unselect_all </item>
<item> $unselect_area </item>
<item> $unselect_by_design_path </item>
<item> $unselect_by_handle </item>
<item> $unselect_by_property </item>
<item> $unselect_by_property_type </item>
<item> $unselect_fb </item>
<item> $unselect_property_owner </item>
<item> $unselect_vertices </item>
<item> $update </item>
<item> $update_all </item>
<item> $update_all_schematics </item>
<item> $update_border </item>
<item> $update_from_interface </item>
<item> $$update_settings_blocks </item>
<item> $update_title_block </item>
<item> $view_all </item>
<item> $view_area </item>
<item> $view_centered </item>
<item> $view_panel </item>
<item> $view_selected </item>
<item> $vpt_needs_save </item>
<item> $was_saved </item>
<item> $zoom_in </item>
<item> $zoom_out </item>
<item> $get_auto_name_net </item>
<item> $get_auto_place_instance_name </item>
<item> $get_check_busshorts </item>
<item> $get_check_functionblocks </item>
<item> $get_check_schematicbusshorts </item>
<item> $get_check_schematicnetio </item>
<item> $get_fb_def_color </item>
<item> $get_fb_def_line_style </item>
<item> $get_fb_inst_color </item>
<item> $get_fb_inst_line_style </item>
<item> $get_fb_int_change_popup </item>
<item> $get_fb_passthru </item>
<item> $get_fb_popupwin </item>
<item> $set_annotation_color </item>
<item> $set_annotation_visibility </item>
<item> $set_auto_name_net </item>
<item> $set_auto_place_instance_name </item>
<item> $set_auto_update_mode </item>
<item> $set_autoripper </item>
<item> $set_autoroute </item>
<item> $set_autoselect </item>
<item> $set_bus_width </item>
<item> $set_check_annotations </item>
<item> $set_check_busshorts </item>
<item> $set_check_closedots </item>
<item> $set_check_dangle </item>
<item> $set_check_expression </item>
<item> $set_check_filemode </item>
<item> $set_check_filename </item>
<item> $set_check_frame </item>
<item> $set_check_functionblocks </item>
<item> $set_check_initprops </item>
<item> $set_check_instance </item>
<item> $set_check_net </item>
<item> $set_check_notdots </item>
<item> $set_check_overlap </item>
<item> $set_check_owner </item>
<item> $set_check_parameter </item>
<item> $set_check_pins </item>
<item> $set_check_schematicbusshorts </item>
<item> $set_check_schematicinstance </item>
<item> $set_check_schematicinterface </item>
<item> $set_check_schematicnet </item>
<item> $set_check_schematicnetio </item>
<item> $set_check_schematicspecial </item>
<item> $set_check_schematicuserrule </item>
<item> $set_check_special </item>
<item> $set_check_symbolbody </item>
<item> $set_check_symbolinterface </item>
<item> $set_check_symbolpin </item>
<item> $set_check_symbolspecial </item>
<item> $set_check_symboluserrule </item>
<item> $set_check_transcript </item>
<item> $set_check_userrule </item>
<item> $set_check_window </item>
<item> $set_close_dot </item>
<item> $set_closeness_criteria </item>
<item> $set_dot_size </item>
<item> $set_dot_style </item>
<item> $set_dynamic_cursor </item>
<item> $set_dynamic_rounding_precision </item>
<item> $set_environment_dofile_pathname </item>
<item> $set_fb_def_color </item>
<item> $set_fb_def_line_style </item>
<item> $set_fb_inst_color </item>
<item> $set_fb_inst_line_style </item>
<item> $set_fb_int_change_popup </item>
<item> $set_fb_passthru </item>
<item> $set_fb_popupwin </item>
<item> $set_hidden_symbol_prop_display </item>
<item> $set_implicit_ripper </item>
<item> $set_line_style </item>
<item> $set_line_width </item>
<item> $set_modify_multiple_prop_filter </item>
<item> $set_net_style </item>
<item> $set_net_width </item>
<item> $set_new_annotation_visibility </item>
<item> $set_orthogonal </item>
<item> $set_orthogonal_angle </item>
<item> $set_pin_spacing </item>
<item> $set_polygon_fill </item>
<item> $set_property_font </item>
<item> $set_property_height </item>
<item> $set_property_hjustification </item>
<item> $set_property_orientation </item>
<item> $set_property_stability_switch </item>
<item> $set_property_transparency </item>
<item> $set_property_visibility </item>
<item> $set_property_visibility_switch </item>
<item> $set_property_vjustification </item>
<item> $set_report_filemode </item>
<item> $set_report_filename </item>
<item> $set_report_transcript </item>
<item> $set_report_window </item>
<item> $set_ripper_dot </item>
<item> $set_ripper_mode </item>
<item> $set_ripper_query </item>
<item> $set_ripper_symbol_pathname </item>
<item> $set_schem_check_mode </item>
<item> $set_schematicuserrules_file </item>
<item> $set_segment_select_mode </item>
<item> $set_select_aperture </item>
<item> $set_select_comment </item>
<item> $set_select_exterior </item>
<item> $set_select_frame </item>
<item> $set_select_instance </item>
<item> $set_select_net </item>
<item> $set_select_pin </item>
<item> $set_select_property </item>
<item> $set_select_segment </item>
<item> $set_select_symbolbody </item>
<item> $set_select_symbolpin </item>
<item> $set_select_text </item>
<item> $set_select_vertex </item>
<item> $set_selection_color </item>
<item> $set_selection_model </item>
<item> $set_snap </item>
<item> $set_symboluserrules_file </item>
<item> $set_text_font </item>
<item> $set_text_height </item>
<item> $set_text_hjustification </item>
<item> $set_text_orientation </item>
<item> $set_text_transparency </item>
<item> $set_text_vjustification </item>
<item> $set_undo_level </item>
<item> $set_unselect_comment </item>
<item> $set_unselect_exterior </item>
<item> $set_unselect_frame </item>
<item> $set_unselect_instance </item>
<item> $set_unselect_net </item>
<item> $set_unselect_pin </item>
<item> $set_unselect_property </item>
<item> $set_unselect_segment </item>
<item> $set_unselect_symbolbody </item>
<item> $set_unselect_symbolpin </item>
<item> $set_unselect_text </item>
<item> $set_unselect_vertex </item>
<item> $set_userrules_file </item>
<item> $set_user_units </item>
</list>
<list name="iccuifct">
<item> $add_menu_item </item>
<item> $ask_color </item>
<item> $ask_frame_name </item>
<item> $ask_integer </item>
<item> $ask_number </item>
<item> $ask_pathname </item>
<item> $ask_pattern </item>
<item> $ask_save_edits </item>
<item> $ask_scope_builtin_name </item>
<item> $ask_scope_callable_name </item>
<item> $ask_scope_form_name </item>
<item> $ask_scope_frame_name </item>
<item> $ask_scope_function_name </item>
<item> $ask_scope_keydef_name </item>
<item> $ask_scope_menu_name </item>
<item> $ask_scope_stroke_name </item>
<item> $ask_string </item>
<item> $ask_yes_no </item>
<item> $ask_yes_no_cancel </item>
<item> $bell </item>
<item> $bottom_y </item>
<item> $c_ask_string </item>
<item> $change_location_map_entry </item>
<item> $change_workspace </item>
<item> $cleanup_icons </item>
<item> $cleanup_windows </item>
<item> $clear_message </item>
<item> $clear_saved_prompt </item>
<item> $close_application_windows </item>
<item> $close_physical_transcript </item>
<item> $close_session </item>
<item> $close_window </item>
<item> $collapse_dockable </item>
<item> $compile_userware </item>
<item> $create_form </item>
<item> $create_menu </item>
<item> $create_notepad </item>
<item> $create_prompt </item>
<item> $create_toolbar </item>
<item> $define_color </item>
<item> $define_userware </item>
<item> $delete_menu_item </item>
<item> $delete_stroke </item>
<item> $disable_interrupt </item>
<item> $disable_softkey_update </item>
<item> $dock_dockable </item>
<item> $dockable_collapsed </item>
<item> $dockable_docked </item>
<item> $dockable_permission </item>
<item> $dockable_pinned </item>
<item> $dockable_visible </item>
<item> $dockables_all_hidden </item>
<item> $dockables_all_shown </item>
<item> $dockables_locked </item>
<item> $double_click_distance </item>
<item> $edit_source </item>
<item> $enable_help </item>
<item> $enable_interrupt </item>
<item> $enable_ref_help </item>
<item> $enable_softkey_update </item>
<item> $eval </item>
<item> $execute </item>
<item> $execute_dynamic </item>
<item> $execute_last_menu </item>
<item> $execute_promptbar </item>
<item> $execute_ref </item>
<item> $execute_stroke </item>
<item> $expand_command </item>
<item> $float_dockable </item>
<item> $forget </item>
<item> $forget_all_promptbars </item>
<item> $forget_promptbar </item>
<item> $form_action </item>
<item> $form_action_buttons_gadget </item>
<item> $form_actual_value </item>
<item> $form_argument </item>
<item> $form_argument_gadget </item>
<item> $form_argument_integer_entry_gadget </item>
<item> $form_argument_number_entry_gadget </item>
<item> $form_argument_string_entry_gadget </item>
<item> $form_argument_value </item>
<item> $form_button </item>
<item> $form_check_boxes_gadget </item>
<item> $form_choice_buttons_gadget </item>
<item> $form_choice_stepper_gadget </item>
<item> $form_click_button_gadget </item>
<item> $form_color_paint_chip_gadget </item>
<item> $form_colors_list_box_gadget </item>
<item> $form_column </item>
<item> $form_display_only </item>
<item> $form_display_text_gadget </item>
<item> $form_dynamic_list_box_gadget </item>
<item> $form_entry_box_gadget </item>
<item> $form_execute_buttons </item>
<item> $form_gadget_value </item>
<item> $form_horiz_dynamic_list_box_gadget </item>
<item> $form_horiz_list_box_gadget </item>
<item> $form_item </item>
<item> $form_label </item>
<item> $form_left_justified_column </item>
<item> $form_list_box_gadget </item>
<item> $form_mouse_tracking </item>
<item> $form_named_argument </item>
<item> $form_named_argument_gadget </item>
<item> $form_navigator_entry </item>
<item> $form_navigator_gadget </item>
<item> $form_number_entry_box_gadget </item>
<item> $form_patterns_list_box_gadget </item>
<item> $form_prompt_text_gadget </item>
<item> $form_push_button_gadget </item>
<item> $form_radio_buttons_gadget </item>
<item> $form_repeat </item>
<item> $form_right_justified_column </item>
<item> $form_row </item>
<item> $form_scope_list_box_gadget </item>
<item> $form_set_no_enter </item>
<item> $form_single_check_box_gadget </item>
<item> $form_string_entry_box_gadget </item>
<item> $form_switch </item>
<item> $form_target_action </item>
<item> $form_target_button </item>
<item> $form_text_entry_box_gadget </item>
<item> $form_variable </item>
<item> $form_variable_gadget </item>
<item> $frame_maximized </item>
<item> $frame_window </item>
<item> $get_action_bar_bgd_color </item>
<item> $get_action_bar_fgd_color </item>
<item> $get_active_color </item>
<item> $get_active_window </item>
<item> $get_additional_workspaces </item>
<item> $get_app_name_and_version </item>
<item> $get_app_name_no_version </item>
<item> $get_auto_pop </item>
<item> $get_auto_refresh </item>
<item> $get_auto_resize_palette </item>
<item> $get_autosave </item>
<item> $get_base_window </item>
<item> $get_bgd_color </item>
<item> $get_border_width </item>
<item> $get_current_profile </item>
<item> $get_cursor_colors </item>
<item> $get_cursor_shape </item>
<item> $get_default_method </item>
<item> $get_default_notepad_font </item>
<item> $get_double_click_interval </item>
<item> $get_expanded_pathname </item>
<item> $get_fgd_color </item>
<item> $get_focus_follows_mouse </item>
<item> $get_focus_follows_mouse_delay </item>
<item> $get_font_nominal_width </item>
<item> $get_form_gadget_value </item>
<item> $get_frame_collapsed </item>
<item> $get_graphic_device </item>
<item> $get_keyboard_type </item>
<item> $get_last_window </item>
<item> $get_message_lines </item>
<item> $get_message_popup </item>
<item> $get_message_reply </item>
<item> $get_message_transcript </item>
<item> $get_notepad_document_status </item>
<item> $get_open_windows </item>
<item> $get_palette_menu_visible </item>
<item> $get_pattern </item>
<item> $get_prompt_fonts </item>
<item> $get_server_switch </item>
<item> $get_sidetab_color </item>
<item> $get_tabbed_workspace_trim_right </item>
<item> $get_text_cursor_blink </item>
<item> $get_transcript_output </item>
<item> $get_window_border_width </item>
<item> $get_window_frame_extent </item>
<item> $get_window_frame_width </item>
<item> $get_workspace </item>
<item> $graphic_x </item>
<item> $graphic_y </item>
<item> $grow_window </item>
<item> $help </item>
<item> $help_context </item>
<item> $help_enabled </item>
<item> $hide_all_dockables </item>
<item> $hide_command_shell </item>
<item> $hide_dockable </item>
<item> $hide_menu_bar </item>
<item> $hide_message_area </item>
<item> $hide_palette </item>
<item> $hide_palette_scrolls </item>
<item> $hide_scrolls </item>
<item> $hide_softkey_labels </item>
<item> $hide_softkeys </item>
<item> $hide_transcript </item>
<item> $hide_window_title </item>
<item> $identify_interrupt </item>
<item> $insert_menu_item </item>
<item> $key_ </item>
<item> $left_x </item>
<item> $load_profile </item>
<item> $load_userware </item>
<item> $location </item>
<item> $lock_dockables </item>
<item> $mark </item>
<item> $maximize_window </item>
<item> $menu_bar_item </item>
<item> $menu_bar_visible </item>
<item> $menu_context_item </item>
<item> $menu_name </item>
<item> $menu_parent_name </item>
<item> $menu_registered_item </item>
<item> $menu_separator_item </item>
<item> $menu_special_text_item </item>
<item> $menu_text_item </item>
<item> $menu_title_item </item>
<item> $message </item>
<item> $message_area_visible </item>
<item> $minimize_window </item>
<item> $move_cursor </item>
<item> $move_dockable </item>
<item> $move_dockable_into </item>
<item> $move_palette </item>
<item> $move_window </item>
<item> $next_field </item>
<item> $next_field_promptbar </item>
<item> $next_icon </item>
<item> $next_window </item>
<item> $open_notepad </item>
<item> $open_physical_transcript </item>
<item> $open_text_report </item>
<item> $option_form_promptbar </item>
<item> $palette_visible </item>
<item> $pause </item>
<item> $pin_dockable </item>
<item> $pop_window </item>
<item> $pop_window_to_top </item>
<item> $popup_command_line </item>
<item> $popup_last_menu </item>
<item> $popup_menu </item>
<item> $popup_menu_at_cursor </item>
<item> $popup_menu_bar </item>
<item> $popup_window_menu </item>
<item> $prev_field </item>
<item> $prev_field_promptbar </item>
<item> $prompt </item>
<item> $prompt_arg </item>
<item> $prompt_display </item>
<item> $prompt_dynamic </item>
<item> $prompt_for_location </item>
<item> $prompt_for_polylocation </item>
<item> $prompt_for_polyrectangle </item>
<item> $prompt_for_rectangle </item>
<item> $prompt_options </item>
<item> $read_cpu_timer </item>
<item> $read_cpu_timer_total </item>
<item> $read_map </item>
<item> $read_timer </item>
<item> $read_timer_total </item>
<item> $redirect_to_active_window </item>
<item> $ref_help </item>
<item> $ref_help_enabled </item>
<item> $refresh </item>
<item> $relative_location </item>
<item> $remove_profile </item>
<item> $replace_palette </item>
<item> $replay_physical_transcript </item>
<item> $report_color </item>
<item> $report_key </item>
<item> $report_stroke </item>
<item> $reposition_window </item>
<item> $reset </item>
<item> $reset_defaults </item>
<item> $reset_timer </item>
<item> $resize_palette </item>
<item> $restore_default_profile </item>
<item> $result </item>
<item> $resume </item>
<item> $right_x </item>
<item> $save_profile </item>
<item> $save_profile_as </item>
<item> $screen_x </item>
<item> $screen_y </item>
<item> $scroll_down_by_unit </item>
<item> $scroll_down_by_window </item>
<item> $scroll_hz </item>
<item> $scroll_left_by_unit </item>
<item> $scroll_left_by_window </item>
<item> $scroll_right_by_unit </item>
<item> $scroll_right_by_window </item>
<item> $scroll_to_bottom </item>
<item> $scroll_to_left </item>
<item> $scroll_to_right </item>
<item> $scroll_to_top </item>
<item> $scroll_up_by_unit </item>
<item> $scroll_up_by_window </item>
<item> $scroll_vt </item>
<item> $scrolls_visible </item>
<item> $select </item>
<item> $select_active_window </item>
<item> $send_ipc </item>
<item> $session_window_active </item>
<item> $set_action_bar_bgd_color </item>
<item> $set_action_bar_fgd_color </item>
<item> $set_active_color </item>
<item> $set_active_window </item>
<item> $set_additional_workspaces </item>
<item> $set_auto_pop </item>
<item> $set_auto_refresh </item>
<item> $set_auto_resize_palette </item>
<item> $set_autosave </item>
<item> $set_base_window </item>
<item> $set_bgd_color </item>
<item> $set_border_width </item>
<item> $set_cmd_line_font </item>
<item> $set_cursor_colors </item>
<item> $set_cursor_shape </item>
<item> $set_default_method </item>
<item> $set_default_notepad_font </item>
<item> $set_default_position </item>
<item> $set_double_click_distance </item>
<item> $set_double_click_interval </item>
<item> $set_fgd_color </item>
<item> $set_focus_follows_mouse </item>
<item> $set_focus_follows_mouse_delay </item>
<item> $set_form_gadget_value </item>
<item> $set_form_position </item>
<item> $set_frame_width </item>
<item> $set_graphic_device </item>
<item> $set_invert_text_color_on_highlight </item>
<item> $set_ipc_port </item>
<item> $set_ipc_wakeup_interval </item>
<item> $set_left_justify_palette_text </item>
<item> $set_list_gadget_double_click_action </item>
<item> $set_menu_rollover_color </item>
<item> $set_message_font_resize </item>
<item> $set_message_lines </item>
<item> $set_message_popup </item>
<item> $set_message_reply </item>
<item> $set_message_transcript </item>
<item> $set_palette_width </item>
<item> $set_pattern </item>
<item> $set_prompt_fonts </item>
<item> $set_sidetab_color </item>
<item> $set_softkey_bgd_color </item>
<item> $set_softkey_fgd_color </item>
<item> $set_softkey_font </item>
<item> $set_tabbed_workspace_trim_right </item>
<item> $set_text_cursor_blink </item>
<item> $set_transcript_output </item>
<item> $set_window_border_width </item>
<item> $set_window_frame_width </item>
<item> $set_working_directory </item>
<item> setup_registered_commands </item>
<item> $show_all_dockables </item>
<item> $show_command_shell </item>
<item> $show_dockable </item>
<item> $show_location_map </item>
<item> $show_menu_bar </item>
<item> $show_message_area </item>
<item> $show_palette </item>
<item> $show_palette_scrolls </item>
<item> $show_parent_palette </item>
<item> $show_scrolls </item>
<item> $show_softkey_labels </item>
<item> $show_softkeys </item>
<item> $show_sub_palette </item>
<item> $show_toolbar_icons </item>
<item> $show_toolbar_text </item>
<item> $show_top_palette </item>
<item> $show_transcript </item>
<item> $show_window_title </item>
<item> $show_workspace_tab </item>
<item> $softkey_bgd_color </item>
<item> $softkey_fgd_color </item>
<item> $softkey_font </item>
<item> $softkeys_visible </item>
<item> $source </item>
<item> $start_stroke </item>
<item> $start_stroke_location </item>
<item> $start_timer </item>
<item> $stop </item>
<item> $stop_stroke </item>
<item> $stop_stroke_location </item>
<item> $stop_timer </item>
<item> $stroke_extent </item>
<item> $stroke_identity </item>
<item> $stroke_ </item>
<item> $target_name </item>
<item> $title_visible </item>
<item> $toolbar_action_selector_item </item>
<item> $toolbar_add_item </item>
<item> $toolbar_associative_item </item>
<item> $toolbar_combo_box_item </item>
<item> $toolbar_insert_item </item>
<item> $toolbar_label_item </item>
<item> $toolbar_n_state_item </item>
<item> $toolbar_registered_item </item>
<item> $toolbar_remove_item </item>
<item> $toolbar_separator_item </item>
<item> $toolbar_text_field_item </item>
<item> $toolbar_text_icon_item </item>
<item> $top_y </item>
<item> $transcript_visible </item>
<item> $ui_message_ask_yes_no </item>
<item> $ui_message_fail </item>
<item> $update_menu_bar </item>
<item> $update_palette </item>
<item> $update_softkey_labels </item>
<item> $update_toolbars </item>
<item> $use_animations </item>
<item> $user_init </item>
<item> $user_pre_init </item>
<item> $user_window_init </item>
<item> $using_animations </item>
<item> $version </item>
<item> $wait </item>
<item> $window_class_name </item>
<item> $window_extent </item>
<item> $window_init </item>
<item> $window_interior_extent </item>
<item> $window_scope_name </item>
<item> $window_visible </item>
<item> $writeln </item>
</list>
<list name="dveicfct">
<item> $add_back_annotation </item>
<item> $add_multiple_properties </item>
<item> $add_parameter </item>
<item> $add_primitive </item>
<item> $add_property </item>
<item> $add_substitute </item>
<item> $add_visible_property </item>
<item> $change_model </item>
<item> $change_property </item>
<item> $check_design </item>
<item> $clear_global_parameter </item>
<item> $close_design_viewpoint </item>
<item> $connect_back_annotation </item>
<item> $delete_invalid_entries </item>
<item> $delete_parameter </item>
<item> $delete_primitive </item>
<item> $delete_property </item>
<item> $delete_substitute </item>
<item> $delete_visible_property </item>
<item> $disconnect_back_annotation </item>
<item> $erc_check </item>
<item> $export_back_annotation </item>
<item> $export_design_configuration </item>
<item> $filter_property_check </item>
<item> $get_viewpoint_name </item>
<item> $import_back_annotation </item>
<item> $is_ba_readonly </item>
<item> $is_function_defined </item>
<item> $latch_using_label </item>
<item> $latch_version </item>
<item> $maintain_back_annotation_window </item>
<item> $open_back_annotation </item>
<item> $open_design_configuration </item>
<item> $open_design_viewpoint </item>
<item> $preset_global_parameter </item>
<item> $reload_model </item>
<item> $report_select_counts </item>
<item> $report_viewpoint_references </item>
<item> $save_design_viewpoint </item>
<item> $select_back_annotation </item>
<item> $select_design_configuration </item>
<item> $select_parameter </item>
<item> $select_primitive </item>
<item> $select_substitute </item>
<item> $select_visible_property </item>
<item> $unlatch_version </item>
<item> $unselect_back_annotation </item>
<item> $unselect_design_configuration </item>
<item> $unselect_parameter </item>
<item> $unselect_primitive </item>
<item> $unselect_substitute </item>
<item> $unselect_visible_property </item>
<item> $update_latched_version </item>
</list>
<!-- Schematic Generator Functions -->
<list name="sgfct">
<item> $add_bundle </item>
<item> $add_bundle_members </item>
<item> $classify_all_genlib </item>
<item> $classify_symbol </item>
<item> $close_window </item>
<item> $delete_bundle </item>
<item> $delete_bundle_members </item>
<item> $delete_parameter </item>
<item> $generate </item>
<item> $get_bundle </item>
<item> $get_bundle_list </item>
<item> $get_bundle_members </item>
<item> $get_components </item>
<item> $get_instances </item>
<item> $get_nets </item>
<item> $get_parameter </item>
<item> $get_partition_setup </item>
<item> $get_property_list </item>
<item> $get_property_setup </item>
<item> $get_schematics </item>
<item> $get_sheets </item>
<item> $get_symbols </item>
<item> $get_symbol_classification </item>
<item> $get_symbol_setup </item>
<item> $open_component_from_model </item>
<item> $open_component_from_tfnf </item>
<item> $open_design_from_viewpoint </item>
<item> $report_bundle_members </item>
<item> $report_design_info </item>
<item> $report_property_setup </item>
<item> $report_setup </item>
<item> $report_status_bundles </item>
<item> $report_symbol_classifications </item>
<item> $save </item>
<item> $save_as </item>
<item> $set_parameter </item>
<item> $set_symbol_transcript </item>
<item> $setup_partition </item>
<item> $setup_placement </item>
<item> $setup_property </item>
<item> $setup_symbol_generation </item>
<item> $view_all </item>
<item> $view_sheet </item>
<item> $view_symbol </item>
<item> $zoom_in </item>
<item> $zoom_out </item>
<item> $set_balance </item>
<item> $set_bundle_mode </item>
<item> $set_bus_partition </item>
<item> $set_cluster </item>
<item> $set_cluster_spacing </item>
<item> $set_connector_spacing </item>
<item> $set_ground_placement </item>
<item> $set_instance_spacing </item>
<item> $set_iterate </item>
<item> $set_lrflow </item>
<item> $set_net_name_prefix </item>
<item> $set_net_spacing </item>
<item> $set_partition_by_name </item>
<item> $set_partition_by_property </item>
<item> $set_partition_density </item>
<item> $set_partition_mode </item>
<item> $set_partition_sheet_count </item>
<item> $set_partition_sheet_size </item>
<item> $set_placement_technique </item>
<item> $set_power_placement </item>
</list>
<list name="dvafct">
<item> $add_bus </item>
<item> $add_comment_flag </item>
<item> $add_group </item>
<item> $add_synonym </item>
<item> $clear_name </item>
<item> $clear_selection_filter </item>
<item> $close_all_windows </item>
<item> $close_selection </item>
<item> $delete_bus </item>
<item> $delete_comment_flag </item>
<item> $delete_group </item>
<item> $delete_synonym </item>
<item> $end_object_selection </item>
<item> $end_object_unselection </item>
<item> $freeze_window </item>
<item> $get_all_instance_properties </item>
<item> $get_all_net_properties </item>
<item> $get_all_pin_properties </item>
<item> $get_all_types </item>
<item> $get_average_connected_pin </item>
<item> $get_average_primitive_instance </item>
<item> $get_average_selected </item>
<item> $get_best_case </item>
<item> $get_bottom_visible </item>
<item> $get_bundle_names </item>
<item> $get_bus_parts </item>
<item> $get_bus_width </item>
<item> $get_buses </item>
<item> $get_comment_flags </item>
<item> $get_component_path </item>
<item> $get_connected </item>
<item> $get_connected_instance </item>
<item> $get_connected_net </item>
<item> $get_connected_net_name </item>
<item> $get_connected_pin </item>
<item> $get_contained </item>
<item> $get_default_monitor_flag_font </item>
<item> $get_default_monitor_flag_height </item>
<item> $get_effective_context </item>
<item> $get_equivalent_nets </item>
<item> $get_full_pathname </item>
<item> $get_groups </item>
<item> $get_highlighted </item>
<item> $get_instance_property </item>
<item> $get_instance_viewed </item>
<item> $get_last_created_window </item>
<item> $get_maximum_connected_pin </item>
<item> $get_maximum_primitive_instance </item>
<item> $get_maximum_selected </item>
<item> $get_minimum_connected_pin </item>
<item> $get_minimum_primitive_instance </item>
<item> $get_minimum_selected </item>
<item> $get_monitor_flag_font </item>
<item> $get_monitor_flag_height </item>
<item> $get_naming_context </item>
<item> $get_nearest_instance </item>
<item> $get_nearest_net </item>
<item> $get_nearest_pin </item>
<item> $get_nearest_property_and_owner </item>
<item> $get_nearest_vertex </item>
<item> $get_net_property </item>
<item> $get_pin_property </item>
<item> $get_protected </item>
<item> $get_select_count </item>
<item> $get_selected </item>
<item> $get_selected_types </item>
<item> $get_selection_filter </item>
<item> $get_sheetname_viewed </item>
<item> $get_source_type </item>
<item> $get_sum_connected_pin </item>
<item> $get_sum_primitive_instance </item>
<item> $get_sum_selected </item>
<item> $get_synonyms </item>
<item> $get_top_visible </item>
<item> $get_total_width_of </item>
<item> $get_triplet_mode </item>
<item> $get_typical </item>
<item> $get_undo_depth </item>
<item> $get_view_window_names </item>
<item> $get_window_names </item>
<item> $get_window_titles </item>
<item> $get_window_type </item>
<item> $get_worst_case </item>
<item> $goto_highlight </item>
<item> $highlight_instance </item>
<item> $highlight_net </item>
<item> $highlight_pin </item>
<item> $instance_annotated_property </item>
<item> $instance_exists </item>
<item> $instance_has_annotations </item>
<item> $instance_source_property </item>
<item> $invalidate_window </item>
<item> $is_window_valid </item>
<item> $net_annotated_property </item>
<item> $net_exists </item>
<item> $net_has_annotations </item>
<item> $net_source_property </item>
<item> $open_down </item>
<item> $open_selected </item>
<item> $open_sheet </item>
<item> $open_up </item>
<item> $pin_annotated_property </item>
<item> $pin_exists </item>
<item> $pin_has_annotations </item>
<item> $pin_source_property </item>
<item> $primitive_instance </item>
<item> $primitive_net </item>
<item> $primitive_pin </item>
<item> $protect </item>
<item> $redirect_to_all_windows </item>
<item> $redo </item>
<item> $reopen_selection </item>
<item> $report_buses </item>
<item> $report_groups </item>
<item> $report_highlighted </item>
<item> $report_interfaces </item>
<item> $report_naming_context </item>
<item> $report_objects </item>
<item> $report_parts_list </item>
<item> $report_protected </item>
<item> $report_synonyms </item>
<item> $report_wire_list </item>
<item> $reselect </item>
<item> $select_by_name </item>
<item> $select_by_property </item>
<item> $select_connected </item>
<item> $select_contained </item>
<item> $select_group </item>
<item> $select_messages </item>
<item> $select_statement </item>
<item> $select_whole_window </item>
<item> $selection_closed </item>
<item> $selection_filter_active </item>
<item> $send_to_active_window </item>
<item> $set_auto_locate </item>
<item> $set_default_method </item>
<item> $set_default_monitor_flag_font </item>
<item> $set_default_monitor_flag_height </item>
<item> $set_effective_context </item>
<item> $set_monitor_flag_font </item>
<item> $set_monitor_flag_height </item>
<item> $set_naming_context </item>
<item> $set_selection_filter </item>
<item> $set_triplet_mode </item>
<item> $set_undo_depth </item>
<item> $setup_icon </item>
<item> $setup_icon_font </item>
<item> $setup_name </item>
<item> $setup_window_location </item>
<item> $undo </item>
<item> $unfreeze_window </item>
<item> $unhighlight_all </item>
<item> $unhighlight_instance </item>
<item> $unhighlight_net </item>
<item> $unhighlight_pin </item>
<item> $unprotect </item>
<item> $unselect_all </item>
<item> $unselect_by_name </item>
<item> $unselect_by_property </item>
<item> $unselect_except_by_property </item>
<item> $unselect_except_group </item>
<item> $unselect_group </item>
<item> $unselect_statement </item>
<item> $unselect_whole_window </item>
<item> $update_window </item>
<item> $write_report </item>
</list>
<list name="icprops">
<item> __da_hdl_arch_name </item>
<item> __da_hdl_entity_name </item>
<item> __da_hdl_lib_name </item>
<item> __da_hdl_libraries </item>
<item> __da_hdl_packages </item>
<item> __da_hdl_port_init </item>
<item> __da_hdl_port_mode </item>
<item> __da_hdl_port_type </item>
<item> __da_hdl_signal_type </item>
<item> __da_suppress_units </item>
<item> Addr_width </item>
<item> Afall, Bfall, Qfall, Qbfall, Tfall, Tbfall </item>
<item> Area </item>
<item> Arise, Brise, Qrise, Qbrise, Trise, Tbrise </item>
<item> Asim_coupling </item>
<item> Asim_param </item>
<item> Asim_pinorder </item>
<item> Asim_model </item>
<item> Asim_model Property in Element Statements </item>
<item> Asim_model_keyword </item>
<item> Attribute_file_required </item>
<item> Basic_container </item>
<item> Block_dir </item>
<item> Brd_loc </item>
<item> Bres_value </item>
<item> Buildmode </item>
<item> Bundle_cover_thickness </item>
<item> Bundle_diameter </item>
<item> Bundle_height </item>
<item> Bundle_length </item>
<item> Bundle_min_bend_radius </item>
<item> Bundle_type </item>
<item> Bundle_width </item>
<item> Cap_coupled </item>
<item> Cap_drive </item>
<item> Cap_drive1 </item>
<item> Cap_drive2 </item>
<item> Cap_net </item>
<item> Cap_nets_coupled </item>
<item> Cap_pin </item>
<item> Class </item>
<item> Cntm_bdry_model </item>
<item> Comp </item>
<item> $Compaction-group </item>
<item> Component_type </item>
<item> $Con-edge </item>
<item> $Con-obj </item>
<item> Conn_order </item>
<item> Conn_type </item>
<item> Contention </item>
<item> Convect_h </item>
<item> Convect_rank </item>
<item> Corr_formula </item>
<item> Corr_formula_rad </item>
<item> Cover_thickness </item>
<item> Cpl_cap_net </item>
<item> Creating_tool </item>
<item> Current_drive </item>
<item> Current_load </item>
<item> Data_width </item>
<item> DCinit </item>
<item> Ddms_versioned_object </item>
<item> Decay </item>
<item> Dec_cap </item>
<item> Default_plot_typ </item>
<item> Default_tool </item>
<item> Diff_pair </item>
<item> Dme_config_ignore_type </item>
<item> Dme_config_include_container </item>
<item> Do_not_jog </item>
<item> dont_delete </item>
<item> dont_shrink </item>
<item> Drive </item>
<item> Dtime </item>
<item> Dual_footprint </item>
<item> Elec_class </item>
<item> Element </item>
<item> Emissivity </item>
<item> Except_assy </item>
<item> Fall </item>
<item> Fall_adj </item>
<item> Fall_slope </item>
<item> Fileset_def </item>
<item> First_addr </item>
<item> Fpin_no_sci </item>
<item> Frexp </item>
<item> From_location_map </item>
<item> From_path </item>
<item> From_version </item>
<item> Func </item>
<item> $G </item>
<item> $Gg </item>
<item> Gate_class </item>
<item> Gate_id </item>
<item> Gatemode </item>
<item> Gdsii_cell </item>
<item> Gdsii_datatype </item>
<item> Gdsii_error </item>
<item> Gdsii_no_output </item>
<item> Gdsii_plex </item>
<item> Gdsii_property_# </item>
<item> Gdsii_text </item>
<item> Requirements for DRACULA II </item>
<item> Gdsii_textinfo </item>
<item> Geom </item>
<item> Global </item>
<item> Globalin </item>
<item> Globalinout </item>
<item> Global_net_cap </item>
<item> Globalout </item>
<item> Group_abut </item>
<item> Group_name </item>
<item> Group_place </item>
<item> Group_prio </item>
<item> Group_seed </item>
<item> Icap_net </item>
<item> Ignore_net </item>
<item> Iih </item>
<item> Iil </item>
<item> Init </item>
<item> Initial_Condition </item>
<item> Ink_id </item>
<item> Input_data </item>
<item> Inst </item>
<item> Instpar </item>
<item> Instpartol </item>
<item> Ioh </item>
<item> Ioh1, Ioh2 </item>
<item> Iol </item>
<item> Iol1, Iol2 </item>
<item> Iozh </item>
<item> Iozl </item>
<item> Junction_max_t </item>
<item> Key_file </item>
<item> Kfall </item>
<item> Krise </item>
<item> Large_icon </item>
<item> Laser </item>
<item> Lms_cat </item>
<item> Lms_component_path </item>
<item> Lms_geometries </item>
<item> Lms_index </item>
<item> Lms_symbols </item>
<item> Load </item>
<item> M </item>
<item> Mass_density </item>
<item> Master_pin </item>
<item> Match_group </item>
<item> Matched_net_length </item>
<item> Mating_connector </item>
<item> Max_addr </item>
<item> Max_cap_pin </item>
<item> Max_stub </item>
<item> Max_wire_length </item>
<item> Mfg </item>
<item> Mgc_cat </item>
<item> Mgc_comps </item>
<item> Mgc_component_path </item>
<item> Mgc_geoms </item>
<item> Mgc_index </item>
<item> Mgc_lib </item>
<item> Mgc_map_path </item>
<item> Mgc_models </item>
<item> Mgc_prop_file_index </item>
<item> Mgc_prop_file_path </item>
<item> Mgc_refine_level </item>
<item> Mgc_symbols </item>
<item> Min_node </item>
<item> Min_stub </item>
<item> Min_wire_length </item>
<item> Model </item>
<item> Modelfile </item>
<item> Modeltype </item>
<item> Ms_* </item>
<item> Multi_assay </item>
<item> Must_jog </item>
<item> My_net </item>
<item> N </item>
<item> Net </item>
<item> Net_comp </item>
<item> Net_comp_count </item>
<item> Net_comp_place </item>
<item> Netdelay </item>
<item> Spike Model Data </item>
<item> Example </item>
<item> Net_length </item>
<item> Net_order </item>
<item> Net_prio </item>
<item> Net_prio_protected </item>
<item> Net_tp_min_clearance </item>
<item> Net_tp_req </item>
<item> Net_type </item>
<item> Nmos_bulk_node </item>
<item> Node </item>
<item> Nodeset </item>
<item> Nofault </item>
<item> Note </item>
<item> Npn_sub_node </item>
<item> Num_blocks </item>
<item> Omit_wire_list </item>
<item> Part_no </item>
<item> Pcb_group </item>
<item> Pcb_ignore </item>
<item> Pcb_inst </item>
<item> Pcb_net </item>
<item> Pcb_pin </item>
<item> Pcb_pin_loc </item>
<item> Pcb_pin_pad </item>
<item> Phy_comp </item>
<item> Phy_pin </item>
<item> Pin </item>
<item> Pin_driver </item>
<item> Pin_gauge </item>
<item> Pin_group </item>
<item> Pin_no </item>
<item> Pin_order </item>
<item> Pin_swap </item>
<item> Pin_tp_req </item>
<item> Pins </item>
<item> Pins_out </item>
<item> Pins_spare </item>
<item> Pintech </item>
<item> Pintype </item>
<item> Pintype_override </item>
<item> Place </item>
<item> Placement_net_cap </item>
<item> Placement_region </item>
<item> Pmos_bulk_node </item>
<item> Pnp_sub_node </item>
<item> $Pn_ext </item>
<item> Portdir </item>
<item> Porttype </item>
<item> Pow_del_max </item>
<item> Pow_del_typ </item>
<item> Pow_derating </item>
<item> Pow_den </item>
<item> Pow_max </item>
<item> Pow_max_symb </item>
<item> Pow_min </item>
<item> Pow_typ </item>
<item> Pow_typ_symb </item>
<item> Power_nets </item>
<item> Power_pins </item>
<item> Power_route_style </item>
<item> Pwr </item>
<item> Prio </item>
<item> Process_var </item>
<item> R </item>
<item> Radiate_h </item>
<item> Rc_feedthrough </item>
<item> Ref </item>
<item> Ref_group </item>
<item> Refloc </item>
<item> Rel_preplacement </item>
<item> Release_comments </item>
<item> Release_date </item>
<item> Release_date_string </item>
<item> Released_by </item>
<item> Released_location_map </item>
<item> Released_to_path </item>
<item> Res_net </item>
<item> Res_path </item>
<item> Res_source </item>
<item> Restrict </item>
<item> R_hat_len </item>
<item> R_hat_width </item>
<item> Rise </item>
<item> Rise_adj </item>
<item> Rise_slope </item>
<item> R_len </item>
<item> R_min_dim </item>
<item> Rn_sub_node </item>
<item> Route_set </item>
<item> Rp_sub_node </item>
<item> R_shape </item>
<item> R_width </item>
<item> Rule </item>
<item> S_des </item>
<item> Seed </item>
<item> Shape </item>
<item> Shared </item>
<item> Side_pref </item>
<item> Size </item>
<item> Small_icon </item>
<item> Source </item>
<item> Source_edit_allowed </item>
<item> Spec_heat </item>
<item> Spicepar </item>
<item> Ss_num </item>
<item> Stub_dir </item>
<item> Substrate </item>
<item> Surface </item>
<item> Surface_area </item>
<item> Swapping </item>
<item> Swap_set </item>
<item> Syn_donttouch </item>
<item> Syn_dontuse </item>
<item> Syn_libname </item>
<item> Target_net_cap </item>
<item> Tech </item>
<item> Tegas5_lib </item>
<item> Temp </item>
<item> Terminator </item>
<item> Therm_cond </item>
<item> Therm_jc </item>
<item> Therm_r </item>
<item> _tid </item>
<item> Timefile </item>
<item> Toler </item>
<item> Tool_reference </item>
<item> Tphz, Tplz, Tpzh, Tpzl </item>
<item> Trace_shielding </item>
<item> Transmode </item>
<item> Trim </item>
<item> Undriven </item>
<item> Value </item>
<item> Variant </item>
<item> Version_depth </item>
<item> Vhdl_type </item>
<item> Vih </item>
<item> Vil </item>
<item> Voh </item>
<item> Voh1, Voh2 </item>
<item> Vol </item>
<item> Vol1, Vol2 </item>
<item> Voltage </item>
<item> Vtype </item>
<item> Wire_auto_calc_length </item>
<item> Zif_model </item>
<item> Zycad_type </item>
</list>
<list name="dmefct">
<item> $$add_configuration_entry </item>
<item> $add_configuration_entry </item>
<item> $$add_container </item>
<item> $add_container </item>
<item> $$add_directory </item>
<item> $add_directory </item>
<item> $add_link </item>
<item> $add_object_property </item>
<item> $$add_reference </item>
<item> $add_reference </item>
<item> $add_reference_property </item>
<item> $add_toolbox </item>
<item> $$add_type </item>
<item> $add_versions </item>
<item> $browse_for_object </item>
<item> $$build_configuration </item>
<item> $build_configuration </item>
<item> $$change_configuration_references </item>
<item> $change_configuration_references </item>
<item> $$change_design_object_references </item>
<item> $change_design_object_references </item>
<item> $change_link_text </item>
<item> $change_location_map_entry </item>
<item> $$change_object_name </item>
<item> $change_object_name </item>
<item> $change_object_property </item>
<item> $$change_object_references </item>
<item> $change_object_references </item>
<item> $change_password </item>
<item> $change_protection </item>
<item> $change_reference_property </item>
<item> $change_reference_state </item>
<item> $change_version_depth </item>
<item> $check_references </item>
<item> $check_registries </item>
<item> $$clear_entry_filter </item>
<item> $$clear_global_status </item>
<item> $$clear_monitor </item>
<item> $$close_configuration </item>
<item> $close_hierarchy </item>
<item> $$close_versioned_object </item>
<item> $close_window </item>
<item> $$convert_configuration_references </item>
<item> $convert_configuration_references </item>
<item> $$convert_object_references </item>
<item> $convert_object_references </item>
<item> $$copy_configuration </item>
<item> $copy_configuration </item>
<item> $$copy_design_object </item>
<item> $copy_design_object </item>
<item> $$copy_object </item>
<item> $copy_object </item>
<item> $copy_version </item>
<item> $$create_configuration </item>
<item> $create_dm_category </item>
<item> $create_dm_cell </item>
<item> $create_dm_ext_lib </item>
<item> $create_dm_library </item>
<item> $create_dm_project </item>
<item> $create_dm_tech_category </item>
<item> $create_dm_tech_lib </item>
<item> $create_tech_config_object </item>
<item> $$create_versioned_object </item>
<item> $$delete_configuration </item>
<item> $delete_configuration </item>
<item> $delete_design_object </item>
<item> $delete_excess_versions </item>
<item> $$delete_object </item>
<item> $delete_object </item>
<item> $$delete_object_property </item>
<item> $delete_object_property </item>
<item> $$delete_reference </item>
<item> $delete_reference </item>
<item> $$delete_reference_handle </item>
<item> $$delete_reference_property </item>
<item> $delete_reference_property </item>
<item> $$delete_reference_property_handle </item>
<item> $$delete_version </item>
<item> $delete_version </item>
<item> $$delete_version_property </item>
<item> $descend_hierarchy_one_level </item>
<item> $descend_hierarchy_specify_level </item>
<item> $$duplicate_object </item>
<item> $edit_file </item>
<item> $empty_trash </item>
<item> $explore_contents </item>
<item> $explore_parent </item>
<item> $explore_reference_parent </item>
<item> $explore_references </item>
<item> $export_configuration_entries </item>
<item> $export_library </item>
<item> $export_location_map </item>
<item> $find_external_deps </item>
<item> $find_references </item>
<item> $$fix_relative_path </item>
<item> $$freeze_configuration </item>
<item> $freeze_configuration </item>
<item> $$freeze_version </item>
<item> $freeze_version </item>
<item> $get_area_selected_objects </item>
<item> $$get_children </item>
<item> $$get_configuration_entries </item>
<item> $$get_configuration_path </item>
<item> $$get_container_contents </item>
<item> $$get_date_last_modified </item>
<item> $get_default_tool </item>
<item> $$get_entry_version </item>
<item> $$get_fileset_members </item>
<item> $$get_hard_name </item>
<item> $$get_location_map </item>
<item> $$get_monitor_error_count </item>
<item> $$get_monitor_flag </item>
<item> $$get_monitor_verbosity </item>
<item> $$get_monitor_warning_count </item>
<item> $get_navigator_directory </item>
<item> $get_navigator_directory_hard </item>
<item> $get_next_tool_env </item>
<item> $$get_object_current_version </item>
<item> $$get_object_parent_path </item>
<item> $$get_object_path_filter </item>
<item> $get_object_pathname </item>
<item> $$get_object_properties </item>
<item> $$get_object_property_filter </item>
<item> $$get_object_property_value </item>
<item> $$get_object_protection </item>
<item> $$get_object_references </item>
<item> $$get_object_type </item>
<item> $get_object_type </item>
<item> $$get_object_type_filter </item>
<item> $get_object_version </item>
<item> $$get_object_versions </item>
<item> $$get_parent_entry </item>
<item> $$get_primaries </item>
<item> $$get_reference_properties </item>
<item> $$get_reference_properties_handle </item>
<item> $$get_reference_property_filter </item>
<item> $$get_reference_traversal </item>
<item> $$get_secondaries </item>
<item> $$get_soft_name </item>
<item> $$get_status_code </item>
<item> $$get_status_code_stack </item>
<item> $$get_status_messages </item>
<item> $get_subinvoke_mode </item>
<item> $$get_target_path </item>
<item> $get_technology </item>
<item> $get_toolbox_search_path </item>
<item> $get_tool_pathname </item>
<item> $get_tool_script </item>
<item> $get_tool_type </item>
<item> $$get_type_properties </item>
<item> $$get_type_property_value </item>
<item> $$get_version_depth </item>
<item> $$get_version_properties </item>
<item> $$get_working_directory </item>
<item> $goto_directory </item>
<item> $$handle_map_error </item>
<item> $$has_object_property </item>
<item> $$has_reference_property </item>
<item> $$has_reference_property_handle </item>
<item> $hide_secondary_entries </item>
<item> $hide_monitor </item>
<item> $import_classic_data </item>
<item> $import_custom_view </item>
<item> $import_design_kit </item>
<item> $import_ext_lib </item>
<item> $import_icstudio_library </item>
<item> $import_icstudio_project </item>
<item> $include_external_library </item>
<item> $invoke_bgd_tool </item>
<item> $invoke_tool </item>
<item> $$is_build_consistent </item>
<item> $$is_build_valid </item>
<item> $$is_configuration_edited </item>
<item> $$is_configuration_frozen </item>
<item> $$is_configuration_locked </item>
<item> $$is_container </item>
<item> $$is_directory </item>
<item> $$is_entry_container </item>
<item> $$is_entry_fixed </item>
<item> $$is_entry_primary </item>
<item> $$is_entry_retargetable </item>
<item> $$is_object_released </item>
<item> $$is_object_versioned </item>
<item> $$is_read_protected </item>
<item> $$is_relative_path </item>
<item> $$is_type_versioned </item>
<item> $$is_writable </item>
<item> $$is_write_protected </item>
<item> $list_references </item>
<item> $load_registry </item>
<item> $$lock_configuration </item>
<item> $lock_configuration </item>
<item> $$lock_object </item>
<item> $login_admin </item>
<item> $logged_in </item>
<item> $logout_admin </item>
<item> $maintain_hierarchy </item>
<item> $$monitor_global_status </item>
<item> $$move_design_object </item>
<item> $move_design_object </item>
<item> $$move_object </item>
<item> $move_object </item>
<item> $$object_complete </item>
<item> $$object_exists </item>
<item> $$open_configuration </item>
<item> $open_configuration_window </item>
<item> $$open_hierarchy </item>
<item> $open_navigator </item>
<item> $open_object </item>
<item> $open_read_only_editor </item>
<item> $open_session_monitor </item>
<item> $$open_tool </item>
<item> $open_tool </item>
<item> $open_tools_window </item>
<item> $open_trash_window </item>
<item> $open_types_window </item>
<item> $$open_versioned_object </item>
<item> $$prune_design_hierarchy </item>
<item> $$read_map </item>
<item> $read_map </item>
<item> $refresh_all </item>
<item> $$release_configuration </item>
<item> $release_configuration </item>
<item> $$release_object </item>
<item> $release_object </item>
<item> $$remove_configuration_entry </item>
<item> $remove_configuration_entry </item>
<item> $remove_external_library </item>
<item> $remove_toolbox </item>
<item> $report_configuration_info </item>
<item> $$report_configuration_references </item>
<item> $report_configuration_references </item>
<item> $report_entry_info </item>
<item> $$report_entry_verification </item>
<item> $report_entry_verification </item>
<item> $$report_global_status </item>
<item> $report_object_info </item>
<item> $report_reference_info </item>
<item> $report_tool_info </item>
<item> $report_type_info </item>
<item> $report_version_info </item>
<item> $$resolve_path </item>
<item> $$revert_version </item>
<item> $revert_version </item>
<item> $$salvage_object </item>
<item> $salvage_object </item>
<item> $$save_configuration </item>
<item> $save_configuration </item>
<item> $$save_configuration_as </item>
<item> $save_configuration_as </item>
<item> $$save_object </item>
<item> $save_toolbox_search_path </item>
<item> $search </item>
<item> $search_again </item>
<item> $select_all </item>
<item> $select_by_name </item>
<item> $select_by_library </item>
<item> $select_by_type </item>
<item> $select_config_entry </item>
<item> $select_object </item>
<item> $select_reference </item>
<item> $select_tool </item>
<item> $select_toolbox </item>
<item> $select_trash_object </item>
<item> $select_version </item>
<item> $set_build_rules </item>
<item> $$set_location_map_entry </item>
<item> $$set_monitor_flag </item>
<item> $$set_monitor_verbosity </item>
<item> $set_next_tool_env </item>
<item> $$set_object_path_filter </item>
<item> $$set_object_property </item>
<item> $$set_object_property_filter </item>
<item> $$set_object_type_filter </item>
<item> $set_project_refresh_heartbeat </item>
<item> $$set_protection </item>
<item> $$set_protection_numeric </item>
<item> $$set_reference_property </item>
<item> $$set_reference_property_filter </item>
<item> $$set_reference_property_handle </item>
<item> $$set_reference_traversal </item>
<item> $set_subinvoke_mode </item>
<item> $$set_target_path </item>
<item> $set_target_path </item>
<item> $set_technology </item>
<item> $set_toolbox_search_path </item>
<item> $$set_version_depth </item>
<item> $$set_version_property </item>
<item> $$set_working_directory </item>
<item> $set_working_directory </item>
<item> $setup_filter_active </item>
<item> $setup_filter_all </item>
<item> $setup_default_editor </item>
<item> $setup_iconic_window_layout </item>
<item> $setup_invoke_tool </item>
<item> $$setup_monitor </item>
<item> $setup_monitor </item>
<item> $setup_session_defaults </item>
<item> $setup_startup_windows </item>
<item> $show_all_files </item>
<item> $show_compiled_libs </item>
<item> $show_component_hierarchy </item>
<item> $show_custom_views </item>
<item> $show_directories </item>
<item> $show_ext_libs </item>
<item> $show_language_views </item>
<item> $show_layout_views </item>
<item> $$show_location_map </item>
<item> $show_location_map </item>
<item> $show_logic_views </item>
<item> $show_references </item>
<item> $show_monitor </item>
<item> $show_tech_libs </item>
<item> $show_versions </item>
<item> $trash_object </item>
<item> $$unfreeze_configuration </item>
<item> $unfreeze_configuration </item>
<item> $$unfreeze_version </item>
<item> $unfreeze_version </item>
<item> $$unlock_configuration </item>
<item> $unlock_configuration </item>
<item> $$unlock_object </item>
<item> $unselect_all </item>
<item> $unselect_by_name </item>
<item> $unselect_by_type </item>
<item> $unselect_config_entry </item>
<item> $unselect_object </item>
<item> $unselect_reference </item>
<item> $unselect_tool </item>
<item> $unselect_toolbox </item>
<item> $unselect_trash_object </item>
<item> $unselect_version </item>
<item> $unset_next_tool_env </item>
<item> $untrash_object </item>
<item> $$update_type </item>
<item> $update_window </item>
<item> $validate_technology </item>
<item> $view_by_icon </item>
<item> $view_by_name </item>
<item> $view_containment_hierarchy </item>
<item> $view_primary_hierarchy </item>
<item> $view_secondary_entries </item>
<item> $view_toolboxes </item>
<item> $view_tools </item>
<item> $write_default_startup_file </item>
<item> $$writeln_monitor </item>
</list>
<list name="idmefct">
<item> $get_current_obj_hier_path </item>
<item> $get_current_obj_inst_list </item>
<item> $idw_dh_setup_display </item>
<item> $idw_report_hier </item>
<item> $idw_open_hierarchy_window </item>
<item> $inst_area_extend_selection </item>
<item> $inst_area_select_all_items </item>
<item> $inst_area_select_item </item>
<item> $inst_area_show_instances </item>
<item> $inst_area_unselect_all_items </item>
<item> $make_obj_current </item>
<item> $open_new_comp_hierarchy </item>
<item> $open_new_hierarchy </item>
<item> $select_obj </item>
<item> $show_instance </item>
<item> $show_n_levels </item>
<item> $set_font </item>
<item> $setup_comp_hierarchy_display </item>
<item> $setup_hierarchy_selection </item>
<item> $write_default_startup_file </item>
<item> $add_components </item>
<item> $add_labels_to_models </item>
<item> $collapse_object </item>
<item> $delete_labels_from_models </item>
<item> $delete_part_interfaces </item>
<item> $expand_object </item>
<item> $forget_components_edits </item>
<item> $hide_body_props </item>
<item> $hide_labels </item>
<item> $hide_model </item>
<item> $hide_pin_properties </item>
<item> $hide_pins </item>
<item> $register_models </item>
<item> $remove_components </item>
<item> $rename_part_interface </item>
<item> $report_body_prop_info </item>
<item> $report_component_info </item>
<item> $report_model_entry_info </item>
<item> $report_models_for_each_label </item>
<item> $report_model_info </item>
<item> $report_models_with_all_labels </item>
<item> $report_pin_info </item>
<item> $save_components_edits </item>
<item> $select_model_object </item>
<item> $select_object </item>
<item> $set_bgd_color </item>
<item> $set_bgd_color_title_items </item>
<item> $set_bgd_color_titles </item>
<item> $set_constraints </item>
<item> $set_default_part_interface </item>
<item> $set_fgd_color </item>
<item> $set_fgd_color_title_items </item>
<item> $set_fgd_color_titles </item>
<item> $set_font </item>
<item> $set_part_interface_font </item>
<item> $show_body_props </item>
<item> $show_labels </item>
<item> $show_model </item>
<item> $show_pins </item>
<item> $show_pin_properties </item>
<item> $unselect_model_object </item>
<item> $unselect_object </item>
<item> $validate_models </item>
</list>
<list name="fctattr">
<item> quick_help </item>
<item> ref_help </item>
</list>
<list name="argqualifier">
<item> optional </item>
<item> default </item>
</list>
<!-- plain IC AMPLE builtin types -->
<list name="types">
<item> name </item>
<item> callable </item>
<item> enum </item>
<item> integer </item>
<item> location </item>
<item> name </item>
<item> number </item>
<item> pathname </item>
<item> polylocation </item>
<item> polyrectangle </item>
<item> real </item>
<item> rectangle </item>
<item> status </item>
<item> string </item>
<item> vector </item>
</list>
<contexts>
<context attribute="Normal Text" lineEndContext="#stay" name="Normal">
<DetectSpaces />
<!-- org C definition: <RegExpr attribute="Preprocessor" context="Outscoped" String="#\s*if\s+0" beginRegion="PP" firstNonSpace="true" /> -->
<RegExpr attribute="Preprocessor" context="#stay" String="#pragma" firstNonSpace="true" />
<keyword attribute="Keyword" context="#stay" String="keywords"/>
<!-- use same coloring attributes of "Data Type" for now -->
<keyword attribute="Data Type" context="#stay" String="types"/>
<keyword attribute="Data Type" context="#stay" String="argqualifier"/>
<keyword attribute="Reserved Variables" context="#stay" String="resvar"/>
<keyword attribute="Base Functions" context="#stay" insensitive="true" String="fctattr" />
<keyword attribute="Base Functions" context="#stay" String="builtinfct" />
<keyword attribute="CUI Functions" context="#stay" String="iccuifct" />
<keyword attribute="Layout Functions" context="#stay" String="icstnfct" />
<keyword attribute="Schematic Functions" context="#stay" String="daicfct" />
<keyword attribute="DVE Functions" context="#stay" String="dveicfct" />
<keyword attribute="CUI Functions" context="#stay" String="idmefct" />
<keyword attribute="CUI Functions" context="#stay" String="dmefct" />
<DetectIdentifier />
<DetectChar attribute="Symbol" context="#stay" char="{" beginRegion="Brace1" />
<DetectChar attribute="Symbol" context="#stay" char="}" endRegion="Brace1" />
<Float attribute="Float" context="#stay">
<StringDetect attribute="Decimal" context="#stay" String="meg" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="f" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="p" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="n" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="u" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="m" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="k" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="g" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="t" insensitive="true"/>
</Float>
<Int attribute="Decimal" context="#stay" >
<StringDetect attribute="Decimal" context="#stay" String="meg" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="f" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="p" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="n" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="u" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="m" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="k" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="g" insensitive="true"/>
<StringDetect attribute="Decimal" context="#stay" String="t" insensitive="true"/>
</Int>
<HlCChar attribute="Char" context="#stay"/>
<DetectChar attribute="String" context="String" char="&quot;"/>
<Detect2Chars attribute="Comment" context="Commentar 1" char="/" char1="/"/>
<Detect2Chars attribute="Comment" context="Commentar 2" char="/" char1="*" beginRegion="Comment"/>
<AnyChar attribute="Symbol" context="#stay" String=":!%&amp;()+,-/.*&lt;=&gt;?[]|~^&#59;"/>
</context>
<context attribute="String" lineEndContext="#pop" name="String">
<LineContinue attribute="String" context="#stay"/>
<HlCStringChar attribute="String Char" context="#stay"/>
<DetectChar attribute="String" context="#pop" char="&quot;"/>
</context>
<context attribute="Region Marker" lineEndContext="#pop" name="Region Marker">
</context>
<context attribute="Comment" lineEndContext="#pop" name="Commentar 1">
<LineContinue attribute="Comment" context="#stay"/>
<IncludeRules context="##Alerts" />
</context>
<context attribute="Comment" lineEndContext="#stay" name="Commentar 2">
<Detect2Chars attribute="Comment" context="#pop" char="*" char1="/" endRegion="Comment"/>
<IncludeRules context="##Alerts" />
</context>
<context attribute="Error" lineEndContext="#pop" name="AfterHash">
<!-- define, elif, else, endif, error, if, ifdef, ifndef, include, include_next, line, pragma, undef, warning -->
<RegExpr attribute="Preprocessor" context="Preprocessor" String="#\s*if(?:def|ndef)?(?=\s+\S)" insensitive="true" beginRegion="PP" firstNonSpace="true" />
<RegExpr attribute="Preprocessor" context="Preprocessor" String="#\s*endif" insensitive="true" endRegion="PP" firstNonSpace="true" />
<RegExpr attribute="Preprocessor" context="Define" String="#\s*define.*((?=\\))" insensitive="true" firstNonSpace="true" />
<RegExpr attribute="Preprocessor" context="Preprocessor" String="#\s*(?:el(?:se|if)|include(?:_next)?|define|undef|line|error|warning|pragma)" insensitive="true" firstNonSpace="true" />
<RegExpr attribute="Preprocessor" context="Preprocessor" String="#\s+[0-9]+" insensitive="true" firstNonSpace="true" />
</context>
<context attribute="Preprocessor" lineEndContext="#pop" name="Preprocessor">
<LineContinue attribute="Preprocessor" context="#stay"/>
<RangeDetect attribute="Prep. Lib" context="#stay" char="&quot;" char1="&quot;"/>
<RangeDetect attribute="Prep. Lib" context="#stay" char="&lt;" char1="&gt;"/>
<IncludeRules context="##Doxygen" />
<Detect2Chars attribute="Comment" context="Commentar/Preprocessor" char="/" char1="*" beginRegion="Comment2" />
</context>
<context attribute="Preprocessor" lineEndContext="#pop" name="Define">
<LineContinue attribute="Preprocessor" context="#stay"/>
</context>
<context attribute="Comment" lineEndContext="#stay" name="Commentar/Preprocessor">
<Detect2Chars attribute="Comment" context="#pop" char="*" char1="/" endRegion="Comment2" />
</context>
<context attribute="Comment" lineEndContext="#stay" name="Outscoped" >
<DetectSpaces />
<IncludeRules context="##Alerts" />
<DetectIdentifier />
<DetectChar attribute="String" context="String" char="&quot;"/>
<IncludeRules context="##Doxygen" />
<Detect2Chars attribute="Comment" context="Commentar 1" char="/" char1="/"/>
<Detect2Chars attribute="Comment" context="Commentar 2" char="/" char1="*" beginRegion="Comment"/>
<RegExpr attribute="Comment" context="Outscoped intern" String="#\s*if" beginRegion="PP" firstNonSpace="true" />
</context>
<context attribute="Comment" lineEndContext="#stay" name="Outscoped intern">
<DetectSpaces />
<DetectIdentifier />
<DetectChar attribute="String" context="String" char="&quot;"/>
<!-- <DetectChar attribute="String" context="String" char="&at;"/> -->
<Detect2Chars attribute="Comment" context="Commentar 1" char="/" char1="/"/>
<Detect2Chars attribute="Comment" context="Commentar 2" char="/" char1="*" beginRegion="Comment"/>
<RegExpr attribute="Comment" context="Outscoped intern" String="#\s*if" beginRegion="PP" firstNonSpace="true" />
<RegExpr attribute="Comment" context="#pop" String="#\s*endif" endRegion="PP" firstNonSpace="true" />
</context>
</contexts>
<itemDatas>
<itemData name="Normal Text" defStyleNum="dsNormal"/>
<itemData name="Keyword" defStyleNum="dsKeyword"/>
<itemData name="Data Type" defStyleNum="dsDataType"/>
<itemData name="Decimal" defStyleNum="dsDecVal"/>
<itemData name="Float" defStyleNum="dsFloat"/>
<itemData name="Char" defStyleNum="dsChar"/>
<itemData name="String" defStyleNum="dsString"/>
<itemData name="String Char" defStyleNum="dsChar"/>
<itemData name="Comment" defStyleNum="dsComment"/>
<itemData name="Reserved Variables" defStyleNum="dsNormal" color="#FF0000" />
<itemData name="Base Functions" defStyleNum="dsNormal" color="#0A7700" />
<itemData name="CUI Functions" defStyleNum="dsNormal" color="#0A7700" />
<itemData name="Layout Functions" defStyleNum="dsNormal" color="#007720" />
<itemData name="Schematic Functions" defStyleNum="dsNormal" color="#0A7701" />
<itemData name="DVE Functions" defStyleNum="dsNormal" color="#0A775C" />
<itemData name="Preprocessor" defStyleNum="dsOthers"/>
<itemData name="Prep. Lib" defStyleNum="dsOthers"/>
<itemData name="Alert" defStyleNum="dsAlert" />
<itemData name="Region Marker" defStyleNum="dsRegionMarker" />
<itemData name="Error" defStyleNum="dsError" />
</itemDatas>
</highlighting>
<general>
<comments>
<comment name="singleLine" start="//" />
<comment name="multiLine" start="/*" end="*/" />
</comments>
<keywords casesensitive="1" />
</general>
</language>